Altera Hybrid Memory Cube Controller Manuel d'utilisateur

Naviguer en ligne ou télécharger Manuel d'utilisateur pour Instruments de mesure Altera Hybrid Memory Cube Controller. Altera Hybrid Memory Cube Controller User Manual Manuel d'utilisatio

  • Télécharger
  • Ajouter à mon manuel
  • Imprimer
  • Page
    / 69
  • Table des matières
  • MARQUE LIVRES
  • Noté. / 5. Basé sur avis des utilisateurs
Vue de la page 0
Hybrid Memory Cube Controller IP Core
User Guide
Last updated for Altera Complete Design Suite: 15.0
Subscribe
Send Feedback
UG-01152
2015.05.04
101 Innovation Drive
San Jose, CA 95134
www.altera.com
Vue de la page 0
1 2 3 4 5 6 ... 68 69

Résumé du contenu

Page 1 - User Guide

Hybrid Memory Cube Controller IP CoreUser GuideLast updated for Altera Complete Design Suite: 15.0SubscribeSend FeedbackUG-011522015.05.04101 Innovati

Page 2 - Contents

Getting Started with the HMC Controller IPCore22015.05.04UG-01152SubscribeSend FeedbackThe following information explains how to install, parameterize

Page 3 - Altera Corporation

Installing and Licensing IP CoresThe Altera IP Library provides many useful IP core functions for your production use without purchasingan additional

Page 4 - Controller IP Core

1. In the IP Catalog (Tools > IP Catalog), locate and double-click the name of the IP core to customize.The parameter editor appears.2. Specify a t

Page 5

Parameter Type Range Default Setting Parameter DescriptionCDRreferenceclockString • 312.5 MHz(at 10 Gbpsonly)• 390.625 MHz(at 12.5 Gbpsonly)• 125 MHz•

Page 6

Parameter Type Range Default Setting Parameter DescriptionEnableM20K ECCsupportBoolean • True• FalseFalseSpecifies whether the IP coresupports the ECC

Page 7 - IP Core Verification

Figure 2-2: Default RX and TX Mapping Parameter ValuesFPG AHMC ControllerHybrid Memory Cubehmc_lxtx[0] LxRX[0]LxTX[0]hmc_lxrx[0]hmc_lxtx[1] LxRX[1]LxT

Page 8 - Hardware Testing

Figure 2-3: Non-Default RX Mapping Parameter Value ExampleIf you connect the IP core hmc_lxrx[2:0] input signals according to the table, and connect a

Page 9 - Release Information

Figure 2-4: Non-Default TX Mapping Parameter Value ExampleIf you connect the HMC Controller IP core hmc_lxtx[2:0] output signals according to the tabl

Page 10 - Related Information

Figure 2-5: IP Core Generated Files<your_ip >.cmp - VHDL component declaration file<your_ip >.ppf - XML I/O pin information file<your

Page 11 - OpenCore Plus IP Evaluation

When you integrate your HMC Controller IP core instance in your design, you must make appropriatepin assignments. You can create a virtual pin to avoi

Page 12 - 2015.05.04

ContentsAbout the Altera Hybrid Memory Cube Controller IP Core...1-1HMC Controller IP Core Supported Features...

Page 13

Figure 2-6: Required External BlocksThe required external blocks appear darker than the other blocks in the figure. The external TX PLL IPcore configu

Page 14

In the transceiver PLL parameter editor, you must follow the instructions in the Arria 10 Transceiver PHYUser Guide to configure the PLL IP core in th

Page 15

Figure 2-7: Transceiver PLL Connections Example with xN Bonding SchemeExample connections between a full-width HMC Controller IP core and a single ATX

Page 16

Figure 2-8: Transceiver PLL Connections Example with PLL Feedback Compensation SchemeExample connections between a full-width HMC Controller IP core a

Page 17

HMC Controller Signal Connects to TX PLL Signalpll_powerdown output signal pll_powerdown reset pin of the external PLLs for all of theHMC lanes.pll_ca

Page 18 - Pin Constraints

In addition, the I2C master module must provide the following two signals to connect to the HMCController IP core:• An input signal that accepts reque

Page 19 - Required External Blocks

testbench. For a complete list of models or libraries required to simulate your IP core, refer to the scriptsgenerated with the testbench.Figure 2-9:

Page 20 - Adding the External PLL

Understanding the TestbenchAltera provides an example design with the HMC Controller IP core. The example design is available bothfor simulation of yo

Page 21

Note: You must specify <HMC BFM directory> as an absolute path.Simulator License Command LineMentor GraphicsQuestaSimmake vsim HMC_MODEL=<HMC

Page 22 - HMC Controller IP Core

Functional Description32015.05.04UG-01152SubscribeSend FeedbackThe Altera HMC Controller MegaCore IP core enables easy access to external HMC devices.

Page 23

HMC Controller IP Core Signals...4-1Application Interface Signals...

Page 24 - C Master Module

The HMC Controller IP core includes the following components:• Two data paths, an HMC TX path and an HMC RX path. Each path includes a link layer modu

Page 25

Interface to External I2C MasterThe HMC Controller IP core requires that you instantiate an external I2C master module in your design.This external I2

Page 26 - RTL Simulation

External PLL InterfaceThe HMC Controller IP core requires that you generate one or more external transceiver PLL IP coresand connect one of the PLL IP

Page 27 - Understanding the Testbench

Figure 3-2: HMC Controller IP Core Clocking DiagramTX PLLpll_refclk0HMC Controller IP CoreTransceiverx16core_clkreconfig_clktx_bonding_clocks[95:90]tx

Page 28

When you initialize the HMC link, recall the following HMC Controller IP core requirements:• The HMC Controller IP core operates in Response Open Loop

Page 29 - Functional Description

This feature enhances data reliability but increases request-to-response latency and resource utilization.Enabling this feature might reduce the maxim

Page 30 - Interfaces Overview

Response Packet Field Error Indication INTERRUPT_STATUS Register BitSEQ Unexpected value SEQ ErrorThe HMC Controller IP core also checks the ERRSTAT f

Page 31 - Status and Debug Interface

Related Information• Transceiver Reconfiguration Signals on page 4-13• CONTROL Register on page 5-2• Arria 10 Transceiver PHY User GuideInformation ab

Page 32 - Clocking and Reset Structure

HMC Controller IP Core Signals42015.05.04UG-01152SubscribeSend FeedbackThe HMC Controller IP core communicates with other design components through mu

Page 33 - Initialization

cycles. In half-width variations, the maximum payload size limits the interface to data bursts of 4 or fewercore_clk clock cycles. Write requests and

Page 34 - M20K ECC Support

About the Altera Hybrid Memory CubeController IP Core12015.05.04UG-01152SubscribeSend FeedbackThe Hybrid Memory Cube (HMC) specification defines a new

Page 35 - Flow Control

Signal Name Direction Descriptiondp_req_validInput Indicates that the transaction is valid—all input signalshave valid values. The HMC Controller IP c

Page 36 - Testing Features

Signal Name Direction Descriptiondp_req_data[511:0] (for full-width IP cores)dp_req_data[255:0] (for half-width IP cores)Input Write data.The applicat

Page 37

Figure 4-2: HMC Controller IP Core to RX ApplicationThe HMC Controller IP core acts as a source and the client acts as a sink in the receive direction

Page 38 - Application Interface Signals

Signal Name Direction Descriptiondp_rsp_size[2:0]Output Indicates the size of the payload associated with thisresponse. If the current response is a R

Page 39

Signal Name Direction Descriptiondp_rsp_errorOutput Indicates that the corresponding request completed withan error and will not be retried automatica

Page 40

When the HMC Controller IP core deasserts the dp_req_ready signal, user logic maintains the currentvalues until a full clock cycle after the IP core r

Page 41

Related InformationHMC Specification 1.1The HMC specification is available for download from the Hybrid Memory Cube Consortium web page.Signals on the

Page 42

Related InformationHMC Controller IP Core Example Design on page 6-1The HMC Controller example design includes an I2C master module that correctly imp

Page 43

Related Information• Control and Status Register Interface on page 3-3• HMC Controller IP Core Register Map on page 5-1• Interrupt Related Registers o

Page 44

Clock and Reset SignalsTable 4-7: HMC Controller IP Core Clock and Reset SignalsThe HMC Controller IP core has a single clock domain outside of the tr

Page 45 - HMC Interface Signals

Related InformationHMC Specification 1.1The HMC specification is available for download from the Hybrid Memory Cube Consortium web page.HMC Controller

Page 46

Clock NameDirectionDescriptioncore_clk OutputMaster clock for the HMC Controller IP core. Thetransceiver generates core_clk. The frequency of core_clk

Page 47

Signal Name Direction Descriptionreconfig_writedata[31:0]Input Write datareconfig_readdata[31:0]Output Read dataThe data on reconfig_readdata[31:0] is

Page 48 - Status and Debug Signals

Signals on the Interface to the External PLLsTable 4-9: HMC Controller IP Core External PLL Interface SignalsThe HMC Controller IP core requires that

Page 49 - Clock and Reset Signals

HMC Controller IP Core Register Map52015.05.04UG-01152SubscribeSend FeedbackThe HMC Controller IP core internal registers are 32 bits wide and are acc

Page 50

Offset Register Name Location of Additional Information0x20 INTERRUPT_STATUSInterrupt Related Registers0x24 INTERRUPT_ENABLE0x28 GLOBAL_INTERRUPT_ENAB

Page 51

XCVR_STATUS RegisterTable 5-4: HMC Controller IP Core XCVR_STATUS Register at Offset 0x08Individual transceiver status in HMC link, ordered by transce

Page 52

Bits Field Name Type Value onResetDescription7:0(half-width IPcore)DescramSync RO 0x00Each bit indicates whether the descrambler for thecorresponding

Page 53 - Code Description

ERROR_RESPONSE RegisterTable 5-7: HMC Controller IP Core ERROR_RESPONSE Register at Offset 0x14The HMC Controller IP core stores the ERRSTAT and CUB f

Page 54 - CONTROL Register

Table 5-8: HMC Controller IP Core INTERRUPT_STATUS Register at Offset 0x20To clear an interrupt, write the value of 1 to the interrupt bit.Bits Field

Page 55 - LANE_STATUS Register

Bits Field Name Type Value onResetDescription8 Retry BufferFullW1C 0x0 The IP core sets this interrupt bit if the Retry buffer fills.When the Retry bu

Page 56 - LINK_STATUS Register

HMC Controller IP Core Supported HMC Transaction TypesThe Altera HMC Controller IP core supports all HMC transactions. The full-width variations requi

Page 57 - Interrupt Related Registers

Bits Field Name Type Value onResetDescription14 Response QueueECC ErrorEnableRW 0x0 Enables Response Queue ECC Error interrupt.13 FERR_N Enable RW 0x0

Page 58 - Description

Bits Field Name Type Value onResetDescription0 GlobalEnable RW 0x0 Writing the value of 0 to this register field disables allinterrupt sources from as

Page 59

Table 5-13: HMC Controller IP Core RETRY_BUFFER_ECC_COUNT Register at Offset 0x38Bits Field Name TypeValueonResetDescription31:24 Reserved RO 0x0023:1

Page 60

Related InformationM20K ECC Support on page 3-6UG-011522015.05.04Error and Retry Statistics Registers5-11HMC Controller IP Core Register MapAltera Cor

Page 61

HMC Controller IP Core Example Design62015.05.04UG-01152SubscribeSend FeedbackAltera provides a compilation-ready example design with the HMC Controll

Page 62

Figure 6-1: High Level Block Diagram for the HMC Controller IP Core Example DesignThe example design configures a single ATX PLL in xN bonding mode an

Page 63 - M20K ECC Support on page 3-6

8. Change directory to <example design directory>/example_design/par.9. Select hmcc_example.qpf.10.Click Processing > Start Compilation. The

Page 64 - Send Feedback

Additional InformationA2015.05.04UG-01152SubscribeSend FeedbackHMC Controller IP Core User Guide Revision HistoryTable A-1: Document Revision HistoryS

Page 65 - Arria 10 Device

• www.altera.com/training• [email protected]• www.altera.com/literature• [email protected][email protected] ConventionsTable A-3

Page 66 - LED Color Meaning When Lit

Visual Cue MeaningCourier typeIndicates signal, port, register, bit, block, andprimitive names. For example, data1, tdi, andinput. The suffix n denote

Page 67 - Additional Information

• 128-byte READ response (9-FLIT packet)• MODE READ response (2-FLIT packet)• MODE WRITE response (single FLIT packet)The HMC Controller IP core does

Page 68 - Typographic Conventions

SimulationAltera performs the following tests on the HMC Controller IP core in simulation, using the Micron HMCBFM:• Constrained random tests that cov

Page 69 - Visual Cue Meaning

Device Speed Grade SupportTable 1-4: Minimum Recommended Device Family Speed Grades Altera recommends that you configure the HMC Controller IP core on

Commentaires sur ces manuels

Pas de commentaire