Altera Quartus II Settings File Manuel d'utilisateur

Naviguer en ligne ou télécharger Manuel d'utilisateur pour Instruments de mesure Altera Quartus II Settings File. Altera Quartus II Settings File User Manual Manuel d'utilisatio

  • Télécharger
  • Ajouter à mon manuel
  • Imprimer
  • Page
    / 134
  • Table des matières
  • MARQUE LIVRES
  • Noté. / 5. Basé sur avis des utilisateurs
Vue de la page 0
Quartus Settings File Reference Manual
2015.05.04
MNL-Q21005
Subscribe
Send Feedback
Advanced I/O Timing Assignments
BOARD_MODEL_EBD_FAR_END
Specifies the far-end node to be used in the Electronic Board Description (EBD) path description.
Type
String
Device Support
This setting can be used in projects targeting any Altera device family.
Notes
The value of this assignment is case sensitive.
Syntax
set_instance_assignment -name BOARD_MODEL_EBD_FAR_END -to <to> -entity
<entity name> <value>
©
2015 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are
trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as
trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance
of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any
products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information,
product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device
specifications before relying on any published information and before placing orders for products or services.
ISO
9001:2008
Registered
www.altera.com
101 Innovation Drive, San Jose, CA 95134
Vue de la page 0
1 2 3 4 5 6 ... 133 134

Résumé du contenu

Page 1 - BOARD_MODEL_EBD_FAR_END

Quartus Settings File Reference Manual2015.05.04MNL-Q21005SubscribeSend FeedbackAdvanced I/O Timing AssignmentsBOARD_MODEL_EBD_FAR_ENDSpecifies the fa

Page 2 - BOARD_MODEL_EBD_FILE_NAME

BOARD_MODEL_NEAR_DIFFERENTIAL_RSpecifies, in ohms, the board trace model near differential resistance.TypeStringDevice SupportThis setting can be used

Page 3 - BOARD_MODEL_EBD_SIGNAL_NAME

HDL_MESSAGE_ONSpecifies the list of HDL message ids you want to turn on for this project.TypeIntegerDevice SupportThis setting can be used in projects

Page 4 - BOARD_MODEL_FAR_C

POWER_REPORT_POWER_DISSIPATIONSpecifies whether the PowerPlay Power Analyzer should report the thermal power dissipation calculatedduring power analys

Page 5

POWER_REPORT_SIGNAL_ACTIVITYSpecifies whether the PowerPlay Power Analyzer should report the signal activities assumed for poweranalysis, and the sour

Page 6 - BOARD_MODEL_FAR_PULLDOWN_R

POWER_SIGNAL_ACTIVITY_END_TIMESpecifies the time at which toggle rates and static probabilities should stop being calculated for the outputsignals con

Page 7 - BOARD_MODEL_FAR_PULLUP_R

POWER_SIGNAL_ACTIVITY_START_TIMESpecifies the time at which toggle rates and static probabilities should start to be calculated for the outputsignals

Page 8 - BOARD_MODEL_FAR_SERIES_R

POWER_STATIC_PROBABILITYSpecifies the fraction of time the signals generated by the node or entity are expected to be at VCC.Allowable values range fr

Page 9 - BOARD_MODEL_NEAR_C

POWER_TJ_VALUESpecifies the junction temperature value, in degrees Celsius, used during power estimation.TypeIntegerDevice SupportThis setting can be

Page 10 - 2015.05.04

POWER_TOGGLE_RATESpecifies the toggle rate assumed by power estimation for the signals generated by this node or entity. Theunits for this value are t

Page 11 - BOARD_MODEL_NEAR_PULLDOWN_R

POWER_TOGGLE_RATE_PERCENTAGESpecifies the toggle rate, as a percentage of clock domain frequency, assumed by power estimation for thesignals generated

Page 12 - BOARD_MODEL_NEAR_PULLUP_R

POWER_USE_CUSTOM_COOLING_SOLUTIONSpecifies whether a custom cooling solution is used during power estimation. For a custom coolingsolution, you must s

Page 13 - BOARD_MODEL_NEAR_SERIES_R

POWER_USE_DEVICE_CHARACTERISTICSSpecifies the device characteristics to be used during power estimation. Estimates are based on averagepower consumed

Page 14

HPS_PARTITIONSpecifies whether an entity or instance is a special-purpose partition that models the internals of the HardProcessor System (HPS).TypeBo

Page 15 - BOARD_MODEL_NEAR_TLINE_LENGTH

POWER_USE_INPUT_FILESpecifies whether or not Signal Activity Files or VCD files should be used to initialize the toggle rates andstatic probabilities

Page 16

POWER_USE_INPUT_FILESSpecifies whether or not Signal Activity Files or VCD files should be used to initialize the toggle rates andstatic probabilities

Page 17 - BOARD_MODEL_TERMINATION_V

POWER_USE_PVASpecifies whether or not Power Vectorless Activity should be used to fill in undefined toggle rates andstatic probabilities.TypeBooleanDe

Page 18

POWER_USE_TA_VALUESpecifies the ambient temperature value, in degrees Celsius, used during power estimation.TypeIntegerDevice SupportThis setting can

Page 19 - BOARD_MODEL_TLINE_LENGTH

POWER_VCCAUX_USER_OPTIONAllows you to specify settings for the VCCAUX power rail supply. Refer to the device datasheet for thecurrent device family fo

Page 20

POWER_VCCA_GXBL_USER_OPTIONAllows you to specify settings for the VCCA_GXBL power rail supply. Refer to the device datasheet forthe current device fam

Page 21 - ENABLE_ADVANCED_IO_TIMING

POWER_VCCA_GXBR_USER_OPTIONAllows you to specify settings for the VCCA_GXBR power rail supply. Refer to the device datasheet forthe current device fam

Page 22 - OUTPUT_IO_TIMING_ENDPOINT

POWER_VCCA_GXB_USER_OPTIONAllows you to specify settings for the VCCA_GXB power rail supply. Refer to the device datasheet for thecurrent device famil

Page 23

POWER_VCCA_L_USER_OPTIONAllows you to specify settings for the VCCA_L power rail supply. Refer to the device datasheet for thecurrent device family fo

Page 24

POWER_VCCA_R_USER_OPTIONAllows you to specify settings for the VCCA_R power rail supply. Refer to the device datasheet for thecurrent device family fo

Page 25 - PCB_LAYER

IGNORE_CARRY_BUFFERSIgnores CARRY_SUM buffers that are instantiated in the design. The Ignore CARRY Buffers option isignored if it is applied to anyth

Page 26 - PCB_LAYERS

POWER_VCCCB_USER_OPTIONAllows you to specify settings for the VCCCB power rail supply. Refer to the device datasheet for thecurrent device family for

Page 27 - PCB_LAYER_THICKNESS

POWER_VCCH_GXBL_USER_OPTIONAllows you to specify settings for the VCCH_GXBL power rail supply. Refer to the device datasheet forthe current device fam

Page 28 - SYNCHRONOUS_GROUP

POWER_VCCH_GXBR_USER_OPTIONAllows you to specify settings for the VCCH_GXBR power rail supply. Refer to the device datasheet forthe current device fam

Page 29 - ADV_NETLIST_OPT_ALLOWED

POWER_VCCH_GXB_USER_OPTIONAllows you to specify settings for the VCCH_GXB power rail supply. Refer to the device datasheet for thecurrent device famil

Page 30

POWER_VCCIO_USER_OPTIONAllows you to specify settings for the VCCIO power rail supply. Refer to the device datasheet for thecurrent device family for

Page 31

POWER_VCCL_GXB_USER_OPTIONAllows you to specify settings for the VCCL_GXB power rail supply. Refer to the device datasheet for thecurrent device famil

Page 32

POWER_VCCPD_USER_OPTIONAllows you to specify settings for the VCCPD power rail supply. Refer to the device datasheet for thecurrent device family for

Page 33

POWER_VCCR_GXBL_USER_OPTIONAllows you to specify settings for the VCCR_GXBL power rail supply. Refer to the device datasheet for thecurrent device fam

Page 34 - ALLOW_CHILD_PARTITIONS

POWER_VCCR_GXBR_USER_OPTIONAllows you to specify settings for the VCCR_GXBR power rail supply. Refer to the device datasheet forthe current device fam

Page 35 - ALLOW_POWER_UP_DONT_CARE

POWER_VCCR_GXB_USER_OPTIONAllows you to specify settings for the VCCR_GXB power rail supply. Refer to the device datasheet for thecurrent device famil

Page 36

IGNORE_CASCADE_BUFFERSIgnores CASCADE buffers that are instantiated in the design. This option is ignored if it is applied toanything other than an in

Page 37 - ALLOW_SYNCH_CTRL_USAGE

POWER_VCCT_GXBL_USER_OPTIONAllows you to specify settings for the VCCT_GXBL power rail supply. Refer to the device datasheet for thecurrent device fam

Page 38 - ALLOW_XOR_GATE_USAGE

POWER_VCCT_GXBR_USER_OPTIONAllows you to specify settings for the VCCT_GXBR power rail supply. Refer to the device datasheet forthe current device fam

Page 39

POWER_VCCT_GXB_USER_OPTIONAllows you to specify settings for the VCCT_GXB power rail supply. Refer to the device datasheet for thecurrent device famil

Page 40 - APEX20K_TECHNOLOGY_MAPPER

POWER_VCD_FILE_END_TIMESpecifies the time at which toggle rates and static probabilities should stop being calculated for the outputsignals contained

Page 41 - AUTO_CARRY_CHAINS

POWER_VCD_FILE_START_TIMESpecifies the time at which toggle rates and static probabilities should start to be calculated for the outputsignals contain

Page 42 - AUTO_CASCADE_CHAINS

POWER_VCD_FILTER_GLITCHESSpecifies whether or not glitch filtering should be used when reading in VCD files.TypeBooleanDevice SupportThis setting can

Page 43 - AUTO_CLOCK_ENABLE_RECOGNITION

VCCAUX_SHARED_USER_VOLTAGESpecifies the voltage of the VCCAUX_SHARED power rail supply. Refer to the device datasheet for thecurrent device family for

Page 44 - AUTO_DSP_RECOGNITION

VCCAUX_USER_VOLTAGESpecifies the voltage of the VCCAUX power rail supply. Refer to the device datasheet for the currentdevice family for more details.

Page 45 - AUTO_ENABLE_SMART_COMPILE

VCCA_FPLL_USER_VOLTAGESpecifies the voltage of the VCCA_FPLL power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 46 - AUTO_GLOBAL_CLOCK_MAX

VCCA_GTBR_USER_VOLTAGESpecifies the voltage of the VCCA_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more det

Page 47 - AUTO_GLOBAL_OE_MAX

IGNORE_GLOBAL_BUFFERSIgnores GLOBAL buffers that are instantiated in the design. This option is ignored if it is applied toanything other than an indi

Page 48 - AUTO_IMPLEMENT_IN_ROM

VCCA_GTB_USER_VOLTAGESpecifies the voltage of the VCCA_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 49 - AUTO_LCELL_INSERTION

VCCA_GXBL_USER_VOLTAGESpecifies the voltage of the VCCA_GXBL power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 50 - AUTO_OPEN_DRAIN_PINS

VCCA_GXBR_USER_VOLTAGESpecifies the voltage of the VCCA_GXBR power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 51 - AUTO_PARALLEL_EXPANDERS

VCCA_GXB_USER_VOLTAGESpecifies the voltage of the VCCA_GXB power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 52 - AUTO_PARALLEL_SYNTHESIS

VCCA_L_USER_VOLTAGESpecifies the default voltage of the VCCA_L power rail supply, which is applied if all transceivers on theleft side of the device a

Page 53 - AUTO_RAM_BLOCK_BALANCING

VCCA_PLL_USER_VOLTAGESpecifies the voltage of the VCCA_PLL power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 54 - AUTO_RAM_RECOGNITION

VCCA_R_USER_VOLTAGESpecifies the default voltage of the VCCA_R power rail supply, which is applied if all transceivers on theright side of the device

Page 55 - AUTO_RAM_TO_LCELL_CONVERSION

VCCA_USER_VOLTAGESpecifies the voltage of the VCCA power rail supply. For devices in the Arria II family, this voltage isapplied if the transceivers a

Page 56 - AUTO_RESOURCE_SHARING

VCCBAT_USER_VOLTAGESpecifies the voltage of the VCCBAT power rail supply. Refer to the device datasheet for the currentdevice family for more details.

Page 57 - AUTO_ROM_RECOGNITION

VCCCB_USER_VOLTAGESpecifies the voltage of the VCCCB power rail supply. Refer to the device datasheet for the current devicefamily for more details.Ty

Page 58

IGNORE_LCELL_BUFFERSIgnores LCELL buffers that are instantiated in the design. This option is ignored if it is applied to anythingother than an indivi

Page 59 - BLOCK_DESIGN_NAMING

VCCD_FPLL_USER_VOLTAGESpecifies the voltage of the VCCD_FPLL power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 60 - CARRY_CHAIN_LENGTH

VCCD_PLL_USER_VOLTAGESpecifies the voltage of the VCCD_PLL power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 61 - CASCADE_CHAIN_LENGTH

VCCD_USER_VOLTAGESpecifies the voltage of the VCCD power rail supply. Refer to the device datasheet for the current devicefamily for more details.Type

Page 62 - CLKLOCKX1_INPUT_FREQ

VCCEH_GXBL_USER_VOLTAGESpecifies the default voltage of the VCCEH_GXBL power rail supplies, which is applied if all transceiversin the corresponding t

Page 63

VCCEH_GXBR_USER_VOLTAGESpecifies the default voltage of the VCCEH_GXBR power rail supplies, which is applied if all transceiversin the corresponding t

Page 64

VCCEH_GXB_USER_VOLTAGESpecifies the default voltage of the VCCEH_GXB power rail supplies, which is applied if all transceivers inthe corresponding tra

Page 65 - DEVICE_FILTER_PACKAGE

VCCE_GXBL_USER_VOLTAGESpecifies the default voltage of the VCCE_GXBL power rail supplies, which is applied if all transceivers inthe corresponding tra

Page 66 - DEVICE_FILTER_PIN_COUNT

VCCE_GXBR_USER_VOLTAGESpecifies the default voltage of the VCCE_GXBR power rail supplies, which is applied if all transceivers inthe corresponding tra

Page 67 - DEVICE_FILTER_SPEED_GRADE

VCCE_GXB_USER_VOLTAGESpecifies the default voltage of the VCCE_GXB power rail supplies, which is applied if all transceivers inthe corresponding trans

Page 68 - DEVICE_FILTER_VOLTAGE

VCCE_USER_VOLTAGESpecifies the voltage of the VCCE power rail supply. Refer to the device datasheet for the current devicefamily for more details.Type

Page 69

IGNORE_MAX_FANOUT_ASSIGNMENTSDirects the Compiler to ignore the Maximum Fan-Out Assignments on a node, an entity, or the wholedesign. For HCII migrati

Page 70 - DISABLE_OCP_HW_EVAL

VCCHIP_L_USER_VOLTAGESpecifies the voltage of the VCCHIP_L power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 71

VCCHIP_R_USER_VOLTAGESpecifies the voltage of the VCCHIP_R power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 72 - DONT_MERGE_REGISTER

VCCHIP_USER_VOLTAGESpecifies the voltage of the VCCHIP power rail supply. Refer to the device datasheet for the current devicefamily for more details.

Page 73 - DQS_DELAY

VCCHSSI_L_USER_VOLTAGESpecifies the voltage of the VCCHSSI_L power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 74 - DQS_FREQUENCY

VCCHSSI_R_USER_VOLTAGESpecifies the voltage of the VCCHSSI_R power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 75 - DQS_SHIFT

VCCH_GTBR_USER_VOLTAGESpecifies the voltage of the VCCH_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more det

Page 76 - DQS_SYSTEM_CLOCK

VCCH_GTB_USER_VOLTAGESpecifies the voltage of the VCCH_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 77 - DSE_SYNTH_EXTRA_EFFORT_MODE

VCCH_GXBL_USER_VOLTAGESpecifies the default voltage of the VCCH_GXBL power rail supplies, which is applied if all transceivers inthe corresponding tra

Page 78 - DSP_BLOCK_BALANCING

VCCH_GXBR_USER_VOLTAGESpecifies the default voltage of the VCCH_GXBR power rail supplies, which is applied if all transceivers inthe corresponding tra

Page 79

VCCH_GXB_USER_VOLTAGESpecifies the voltage of the VCCH_GXB power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 80 - EDA_INPUT_DATA_FORMAT

IGNORE_ROW_GLOBAL_BUFFERSIgnores ROW GLOBAL buffers that are instantiated in the design. This option is ignored if it is applied toanything other than

Page 81 - EDA_INPUT_GND_NAME

VCCH_L_USER_VOLTAGESpecifies the default voltage of the VCCH_L power rail supplies, which is applied if all transceivers in thecorresponding transceiv

Page 82 - EDA_INPUT_VCC_NAME

VCCH_R_USER_VOLTAGESpecifies the default voltage of the VCCH_R power rail supplies, which is applied if all transceivers in thecorresponding transceiv

Page 83 - EDA_LMF_FILE

VCCINT_USER_VOLTAGESpecifies the voltage of the VCCINT power rail supply. Refer to the device datasheet for the current devicefamily for more details.

Page 84 - EDA_RUN_TOOL_AUTOMATICALLY

VCCIO_USER_VOLTAGESpecifies the voltage of the VCCIO power rail supply. Refer to the device datasheet for the current devicefamily for more details.Ty

Page 85 - EDA_SHOW_LMF_MAPPING_MESSAGES

VCCL_GTBL_USER_VOLTAGESpecifies the voltage of the VCCL_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more det

Page 86 - EDA_VHDL_LIBRARY

VCCL_GTBR_USER_VOLTAGESpecifies the voltage of the VCCL_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more det

Page 87 - ENABLE_IP_DEBUG

VCCL_GTB_USER_VOLTAGESpecifies the voltage of the VCCL_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 88 - ENABLE_M512

VCCL_GXBL_USER_VOLTAGESpecifies the voltage of the VCCL_GXBL power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 89

VCCL_GXBR_USER_VOLTAGESpecifies the voltage of the VCCL_GXBR power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 90 - EXTRACT_VHDL_STATE_MACHINES

VCCL_GXB_USER_VOLTAGESpecifies the voltage of the VCCL_GXB power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 91

IGNORE_SOFT_BUFFERSIgnores SOFT buffers that are instantiated in the design. This option is ignored if it is applied to anythingother than an individu

Page 92 - FLEX10K_CARRY_CHAIN_LENGTH

VCCL_USER_VOLTAGESpecifies the voltage of the VCCL power rail supply. Refer to the device datasheet for the current devicefamily for more details.Type

Page 93

VCCPD_USER_VOLTAGESpecifies the voltage of the VCCPD power rail supply. Refer to the device datasheet for the current devicefamily for more details.Ty

Page 94 - FLEX6K_CARRY_CHAIN_LENGTH

VCCPGM_USER_VOLTAGESpecifies the voltage of the VCCPGM power rail supply. Refer to the device datasheet for the currentdevice family for more details.

Page 95 - FLEX6K_OPTIMIZATION_TECHNIQUE

VCCPLL_HPS_USER_VOLTAGESpecifies the voltage of the VCC_PLL_HPS power rail supply. For more information, refer to therespective device datasheet.TypeS

Page 96 - FORCE_SYNCH_CLEAR

VCCPT_USER_VOLTAGESpecifies the voltage of the VCCPT power rail supply. Refer to the device datasheet for the current devicefamily for more details.Ty

Page 97 - HDL_INITIAL_FANOUT_LIMIT

VCCP_USER_VOLTAGESpecifies the voltage of the VCCP power rail supply. Refer to the device datasheet for the current devicefamily for more details.Type

Page 98 - HDL_MESSAGE_LEVEL

VCCRSTCLK_HPS_USER_VOLTAGESpecifies the voltage of the VCCRSTCLK_HPS power rail supply. Refer to the device datasheet for thecurrent device family for

Page 99 - HDL_MESSAGE_OFF

VCCR_GTBL_USER_VOLTAGESpecifies the voltage of the VCCR_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more det

Page 100 - HDL_MESSAGE_ON

VCCR_GTBR_USER_VOLTAGESpecifies the voltage of the VCCR_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more det

Page 101 - HPS_PARTITION

VCCR_GTB_USER_VOLTAGESpecifies the voltage of the VCCR_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 102 - IGNORE_CARRY_BUFFERS

IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFFInstructs Analysis & Synthesis to ignore all translate_off/synthesis_off synthesis directives in your Verilo

Page 103 - IGNORE_CASCADE_BUFFERS

VCCR_GXBL_USER_VOLTAGESpecifies the voltage of the VCCR_GXBL power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 104 - IGNORE_GLOBAL_BUFFERS

VCCR_GXBR_USER_VOLTAGESpecifies the voltage of the VCCR_GXBR power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 105 - IGNORE_LCELL_BUFFERS

VCCR_GXB_USER_VOLTAGESpecifies the voltage of the VCCR_GXB power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 106 - IGNORE_MAX_FANOUT_ASSIGNMENTS

VCCR_L_USER_VOLTAGESpecifies the voltage of the VCCR_L power rail supply. Refer to the device datasheet for the current devicefamily for more details.

Page 107 - IGNORE_ROW_GLOBAL_BUFFERS

VCCR_R_USER_VOLTAGESpecifies the voltage of the VCCR_R power rail supply. Refer to the device datasheet for the current devicefamily for more details.

Page 108 - IGNORE_SOFT_BUFFERS

VCCR_USER_VOLTAGESpecifies the voltage of the VCCR power rail supply. Refer to the device datasheet for the current devicefamily for more details.Type

Page 109

VCCT_GTBL_USER_VOLTAGESpecifies the voltage of the VCCT_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more det

Page 110

VCCT_GTBR_USER_VOLTAGESpecifies the voltage of the VCCT_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more det

Page 111 - IMPLEMENT_AS_CLOCK_ENABLE

VCCT_GTB_USER_VOLTAGESpecifies the voltage of the VCCT_GTB power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 112

VCCT_GXBL_USER_VOLTAGESpecifies the voltage of the VCCT_GXBL power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 113 - INFER_RAMS_FROM_RAW_LOGIC

BOARD_MODEL_NEAR_PULLDOWN_RSpecifies, in ohms, the board trace model near pull-down resistance.TypeStringDevice SupportThis setting can be used in pro

Page 114 - IP_SEARCH_PATHS

IGNORE_VERILOG_INITIAL_CONSTRUCTSInstructs Analysis & Synthesis to ignore initial constructs and variable declaration assignments in yourVerilog H

Page 115 - LCELL_INSERTION

VCCT_GXBR_USER_VOLTAGESpecifies the voltage of the VCCT_GXBR power rail supply. Refer to the device datasheet for the currentdevice family for more de

Page 116

VCCT_GXB_USER_VOLTAGESpecifies the voltage of the VCCT_GXB power rail supply. Refer to the device datasheet for the currentdevice family for more deta

Page 117 - MAX7000_FANIN_PER_CELL

VCCT_L_USER_VOLTAGESpecifies the voltage of the VCCT_L power rail supply. Refer to the device datasheet for the current devicefamily for more details.

Page 118 - MAX7000_IGNORE_LCELL_BUFFERS

VCCT_R_USER_VOLTAGESpecifies the voltage of the VCCT_R power rail supply. Refer to the device datasheet for the current devicefamily for more details.

Page 119 - MAX7000_IGNORE_SOFT_BUFFERS

VCCT_USER_VOLTAGESpecifies the voltage of the VCCT power rail supply. Refer to the device datasheet for the current devicefamily for more details.Type

Page 120

VCC_HPS_USER_VOLTAGESpecifies the voltage of the VCC_HPS power rail supply. For more information, refer to the respectivedevice datasheet.TypeStringDe

Page 121

VCC_USER_VOLTAGESpecifies the voltage of the VCC power rail supply. Refer to the device datasheet for the current devicefamily for more details.TypeSt

Page 122 - MAXII_OPTIMIZATION_TECHNIQUE

Programmer AssignmentsGENERATE_CONFIG_HEXOUT_FILEGenerates a Hexadecimal (Intel-format) Output File (.hexout) containing configuration data that can b

Page 123

GENERATE_CONFIG_ISC_FILEGenerates an In System Configuration File (.isc) containing configuration data that an intelligent externalcontroller can use

Page 124 - MAX_BALANCING_DSP_BLOCKS

GENERATE_CONFIG_JAM_FILEGenerate a JEDEC STAPL Format File (.jam) containing configuration data that an intelligent externalcontroller can use to conf

Page 125 - MAX_FANOUT

IMPLEMENT_AS_CLOCK_ENABLESpecifies that this node should function as a clock enable signal for one or more registers.TypeBooleanDevice SupportThis set

Page 126 - MAX_LABS

GENERATE_CONFIG_JBC_FILEGenerate a compressed Jam STAPL Byte Code 2.0 File (.jbc) containing configuration data that anintelligent external controller

Page 127

GENERATE_CONFIG_JBC_FILE_COMPRESSEDGenerate a compressed Jam STAPL Byte Code 2.0 File (.jbc) containing configuration data that anintelligent external

Page 128 - MAX_RAM_BLOCKS_M4K

GENERATE_CONFIG_SVF_FILEGenerates a Serial Vector Format File (.svf) containing configuration data that an intelligent externalcontroller can use to c

Page 129 - MAX_RAM_BLOCKS_M512

GENERATE_ISC_FILEDirects the programmer to generate an In System Configuration File (.isc) containing configuration datathat an intelligent external c

Page 130 - MAX_RAM_BLOCKS_MRAM

GENERATE_JAM_FILEDirects the programmer to generate a JEDEC JESD71 STAPL Format File (.jam) containing configurationdata that an intelligent external

Page 131 - MERCURY_CARRY_CHAIN_LENGTH

GENERATE_JBC_FILEDirects the programmer to generate a compressed JAM Byte Code File (.jbc) containing configurationdata that an intelligent external c

Page 132

GENERATE_JBC_FILE_COMPRESSEDGenerate a compressed JAM Byte Code File (.jbc) containing configuration data that an intelligentexternal controller can u

Page 133 - ETTING_DONT_CARE

GENERATE_SVF_FILEDirects the programmer to generate a Serial Vector Format File (.svf) containing configuration data thatan intelligent external contr

Page 134 - MUX_RESTRUCTURE

HPS_EARLY_IO_RELEASERelease the HPS shared I/O bank after the IOCSR programmingTypeBooleanDevice SupportThis setting can be used in projects targeting

Page 135 - NOT_GATE_PUSH_BACK

ISP_CLAMP_STATESpecifies the pin state during in-system programming. This option is ignored if it is assigned to anythingother than pins.TypeEnumerati

Page 136

IMPLEMENT_AS_OUTPUT_OF_LOGIC_CELLImplements the output of a primitive in a logic cell. You can apply this option to a logic function thatwould not ord

Page 137

ISP_CLAMP_STATE_DEFAULTFor used pins that do not have an in-system programming clamp state assignment, this option allows youto specify the state that

Page 138

MERGE_HEX_FILEUses the Hexadecimal (Intel-Format) File (.hex) and the programmable logic Partial SRAM Object File(.psof) to create passive programming

Page 139

Project-Wide AssignmentsAGGREGATE_REVISIONSpecifies an AGGREGATE revision type.TypeStringDevice SupportThis setting can be used in projects targeting

Page 140 - OPTIMIZATION_TECHNIQUE

AHDL_FILEAssociates an AHDL source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device

Page 141

AHDL_TEXT_DESIGN_OUTPUT_FILEAssociates an AHDL Text Design Output File with this project.TypeFile nameDevice SupportThis setting can be used in projec

Page 142

ASM_FILEAssociates an Assembly source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera devi

Page 143 - PARALLEL_SYNTHESIS

AUTO_EXPORT_VER_COMPATIBLE_DBAutomatically exports version-compatible database files when compilation completes.TypeBooleanDevice SupportThis setting

Page 144 - PARAMETER

BASE_REVISIONSpecifies a BASE revision type.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThe v

Page 145 - POWER_UP_LEVEL

BASE_REVISION_PROJECT_OUTPUT_DIRECTORYSpecifies the directory where project output files such as the Text-Format Report Files (.rpt) and EquationFiles

Page 146 - PRESERVE_FANOUT_FREE_NODE

BDF_FILEAssociates a Block Design File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device f

Page 147 - PRESERVE_REGISTER

INFER_RAMS_FROM_RAW_LOGICInstructs the Compiler to infer RAM from registers and multiplexers. Some HDL patterns that differ fromAltera RAM templates a

Page 148 - PRE_MAPPING_RESYNTHESIS

BINARY_FILEAssociates a binary file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device fami

Page 149 - PRPOF_ID

BSF_FILEAssociates a Block Symbol File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device f

Page 150

CDF_FILEAssociates a Chain Description File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera dev

Page 151 - REMOVE_DUPLICATE_REGISTERS

COMMAND_MACRO_FILEAssociates a script file or ModelSim Macro File with this project.TypeFile nameDevice SupportThis setting can be used in projects ta

Page 152 - REMOVE_REDUNDANT_LOGIC_CELLS

CPP_FILEAssociates a C++ source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device fam

Page 153 - REPORT_CONNECTIVITY_CHECKS

CPP_INCLUDE_FILEAssociates a C++ include file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera d

Page 154 - REPORT_PARAMETER_SETTINGS

CUSP_FILEAssociates a C++ source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device fa

Page 155 - REPORT_SOURCE_ASSIGNMENTS

CVP_REVISIONSpecifies a CVP revision type.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThe val

Page 156

C_FILEAssociates a C source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device family.

Page 157

DEPENDENCY_FILEAssociates a Dependency file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera dev

Page 158 - RESYNTHESIS_RETIMING

IP_SEARCH_PATHSSpecifies the IP search paths specific to the project.TypeStringDevice SupportThis setting can be used in projects targeting any Altera

Page 159 - SAFE_STATE_MACHINE

DSPBUILDER_FILEAssociates a DSPBuilder source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Alt

Page 160 - SAVE_DISK_SPACE

EDIF_FILEAssociates an EDIF source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device

Page 161 - SEARCH_PATH

ELF_FILEAssociates an ELF file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device family.No

Page 162

ENABLE_COMPACT_REPORT_TABLEAllows you to view the report table in compact format.TypeBooleanDevice SupportThis setting can be used in projects targeti

Page 163

ENABLE_REDUCED_MEMORY_MODEDetermines whether to enable compiler to run in reduced memory mode. This assignment controls asmall number of memory-intens

Page 164 - STATE_MACHINE_PROCESSING

EQUATION_FILEAssociates an Equation File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device

Page 165 - LD_SELECT

FLOW_DISABLE_ASSEMBLERAllows you to turn on or turn off the Assembler during compilation.TypeBooleanDevice SupportThis setting can be used in projects

Page 166 - STRATIXII_CARRY_CHAIN_LENGTH

FLOW_ENABLE_HC_COMPAREEnable HardCopy Compare during compilationTypeBooleanDevice SupportThis setting can be used in projects targeting any Altera dev

Page 167

FLOW_ENABLE_IO_ASSIGNMENT_ANALYSISAllows you to run I/O assignment analysis before compilationTypeBooleanDevice SupportThis setting can be used in pro

Page 168 - STRATIX_CARRY_CHAIN_LENGTH

FLOW_ENABLE_PARALLEL_MODULESAllows you to run Assembler and TimeQuest Timing Analyzer in parallel during compilation.TypeBooleanDevice SupportThis set

Page 169

LCELL_INSERTIONAllows you to insert one or more logic cells between two nodes without changing the design files. Thevalue you assign this option is th

Page 170 - STRICT_RAM_RECOGNITION

FLOW_ENABLE_POWER_ANALYZERAllows you to turn on or turn off the Power Analyzer during compilation.TypeBooleanDevice SupportThis setting can be used in

Page 171

FLOW_ENABLE_RTL_VIEWERAllows the RTL Viewer to process the schematic during design compilation. Turning on this option alsoallows you to open the RTL

Page 172 - SYNTHESIS_EFFORT

FLOW_HARDCOPY_DESIGN_READINESS_CHECKAllows you to turn on or turn off the HardCopy Design Readiness Check during compilation.TypeBooleanDevice Support

Page 173

GDF_FILEAssociates a GDF source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device fam

Page 174

HC_OUTPUT_DIRSpecifies the directory to which HardCopy handoff files should be generatedTypeFile nameDevice SupportThis setting can be used in project

Page 175 - SYNTH_CLOCK_MUX_PROTECTION

HEX_FILEAssociates a Hexadecimal source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera de

Page 176 - SYNTH_GATED_CLOCK_CONVERSION

HEX_OUTPUT_FILEAssociates a Hexadecimal Output File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Al

Page 177 - SYNTH_MESSAGE_LEVEL

HPS_ISW_FILEAssociates a hard processor system (HPS) initial software configuration file with an HPS entity.TypeFile nameDevice SupportThis setting ca

Page 178 - SYNTH_PROTECT_SDC_CONSTRAINT

HTML_FILEAssociates an HTML file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device family.

Page 179

HTML_REPORT_FILEAssociates an HTML Report File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera

Page 180 - SYNTH_TIMING_DRIVEN_SYNTHESIS

LIMIT_AHDL_INTEGERS_TO_32_BITSSpecifies whether an AHDL-based design should have a limit on integer size of 32 bits. This option isprovided for backwa

Page 181 - TOP_LEVEL_ENTITY

INCLUDE_FILEAssociates an Include File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device f

Page 182 - TRUE_WYSIWYG_FLOW

IPA_FILEAssociates an IP Advisor file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device fa

Page 183 - USER_LIBRARIES

IPX_FILEAssociates a Quartus II IP-XACT description file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting a

Page 184

IP_COMPONENT_AUTHORSpecifies the IP component authorTypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.No

Page 185 - USE_HIGH_SPEED_ADDER

IP_COMPONENT_DESCRIPTIONSpecifies the IP component descriptionTypeStringDevice SupportThis setting can be used in projects targeting any Altera device

Page 186

IP_COMPONENT_DISPLAY_NAMESpecifies the IP component display nameTypeStringDevice SupportThis setting can be used in projects targeting any Altera devi

Page 187 - VERILOG_CONSTANT_LOOP_LIMIT

IP_COMPONENT_DOCUMENTATION_LINKSpecifies a documentation link for the IP componentTypeStringDevice SupportThis setting can be used in projects targeti

Page 188 - VERILOG_INPUT_VERSION

IP_COMPONENT_GROUPSpecifies the group in the Component Library that includes this IP componentTypeStringDevice SupportThis setting can be used in proj

Page 189 - VERILOG_LMF_FILE

IP_COMPONENT_INTERNALSpecifies the if the IP is an internal component.TypeBooleanDevice SupportThis setting can be used in projects targeting any Alte

Page 190 - VERILOG_MACRO

IP_COMPONENT_NAMESpecifies the IP component nameTypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.NotesT

Page 191

MAX7000_FANIN_PER_CELLSpecifies the maximum fan-in per macrocell. Legal integer values, in percentage terms, range from 20through 100.Old NameMaximum

Page 192

IP_COMPONENT_PARAMETERSpecifies the parameter, value, and display name of an IP component parameterTypeStringDevice SupportThis setting can be used in

Page 193 - VHDL_INPUT_LIBRARY

IP_COMPONENT_REPORT_HIERARCHYSpecifies the if the IP component should report its hierarchyTypeBooleanDevice SupportThis setting can be used in project

Page 194 - VHDL_INPUT_VERSION

IP_COMPONENT_VERSIONSpecifies the IP component versionTypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.

Page 195 - VHDL_LMF_FILE

IP_GENERATED_DEVICE_FAMILYSpecifies the device families for which the IP core was generated for.TypeStringDevice SupportThis setting can be used in pr

Page 196

IP_QSYS_MODEMode used to generate a QIPTypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThe value

Page 197 - Assembler Assignments

IP_TARGETED_DEVICE_FAMILYSpecifies the device family for which the IP core was targeted.TypeStringDevice SupportThis setting can be used in projects t

Page 198

IP_TARGETED_PART_TRAITSpecifies a part traint for which IP core was targeted.TypeStringDevice SupportThis setting can be used in projects targeting an

Page 199 - APEX20K_JTAG_USER_CODE

IP_TOOL_ENVSpecifies the tool which generated the IP core.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device fam

Page 200

IP_TOOL_HIERARCHY_LEVELSSpecifies the number of levels of hierarchy from the IP root.TypeIntegerDevice SupportThis setting can be used in projects tar

Page 201

IP_TOOL_NAMESpecifies the IP core name.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThe value

Page 202 - AUTO_RESTART_CONFIGURATION

MAX7000_IGNORE_LCELL_BUFFERSIgnores LCELL buffers that are instantiated in the design. This option is ignored if it is applied to anythingother than a

Page 203 - CLOCK_SOURCE

IP_TOOL_VERSIONSpecifies the IP core versionTypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThe v

Page 204 - COMPRESSION_MODE

ISC_FILEIEEE 1532 fileTypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThe value of this assign

Page 205 - CONFIGURATION_CLOCK_DIVISOR

JAM_FILEAssociates a Jam File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device family.Not

Page 206 - CONFIGURATION_CLOCK_FREQUENCY

JBC_FILEAssociates a Jam Byte-Code File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device

Page 207

LICENSE_FILEAssociates a License File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device fa

Page 208 - CYCLONEII_M4K_COMPATIBILITY

LMF_FILEAssociates a Library Mapping File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera devic

Page 209 - CYCLONE_CONFIGURATION_DEVICE

LOGIC_ANALYZER_INTERFACE_FILEAssociates a Logic Analyzer Interface file with this project.TypeFile nameDevice SupportThis setting can be used in proje

Page 210

MAP_FILEEPC16 addresses usedTypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThe value of this

Page 211 - ENABLE_ADV_SEU_DETECTION

MASK_REVISIONSpecifies a MASK revision type.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThe v

Page 212 - ENABLE_AUTONOMOUS_PCIE_HIP

MESSAGE_DISABLETells the compiler to suppress the specified user message(s).TypeIntegerDevice SupportThis setting can be used in projects targeting an

Page 213

MAX7000_IGNORE_SOFT_BUFFERSIgnores SOFT buffers that are instantiated in the design. This option is ignored if it is applied to anythingother than an

Page 214

MESSAGE_ENABLETells the compiler to enable the specified user message(s).TypeIntegerDevice SupportThis setting can be used in projects targeting any A

Page 215 - ENABLE_OCT_DONE

MIF_FILEAssociates a Memory Initialization File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera

Page 216 - EN_SPI_IO_WEEK_PULLUP

MIGRATION_DIFFERENT_SOURCE_FILESpecifies a HDL source file that will be different in the companion revision. This is used to allow settingdifferences

Page 217 - EN_USER_IO_WEEK_PULLUP

MISC_FILEAssociates a file with this project. Files assigned to this assignment will be archived by the Project Archivecommand if the 'Project so

Page 218

NUM_PARALLEL_PROCESSORSSpecifies the maximum number of processors allocated for parallel compilation on a single machine. Forparallel compilation you

Page 219 - FLEX10K_CONFIGURATION_DEVICE

OBJECT_FILEAssociates an Object file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device fam

Page 220

OCP_FILESpecifies the OpenCore core plus file generated by the MegaWizard. This file is used by Quartus to allowcompilation and sof generation of the

Page 221

PARTIAL_SRAM_OBJECT_FILEAssociates a Partial SRAM Object File with this project.TypeFile nameDevice SupportThis setting can be used in projects target

Page 222 - FLEX10K_JTAG_USER_CODE

PDC_FILEAssociates a Physical Design Constraint File (.pdc) with this project.TypeFile nameDevice SupportThis setting can be used in projects targetin

Page 223 - FLEX6K_CONFIGURATION_DEVICE

PERSONA_FILEAssociates a Quartus II Persona with this project as a source file.TypeFile nameDevice SupportThis setting can be used in projects targeti

Page 224

BOARD_MODEL_NEAR_PULLUP_RSpecifies, in ohms, the board trace model near pull-up resistance.TypeStringDevice SupportThis setting can be used in project

Page 225 - GENERATE_HEX_FILE

MAX7000_OPTIMIZATION_TECHNIQUESpecifies the overall optimization goal for Analysis & Synthesis: attempt to maximize performance orminimize logic u

Page 226 - GENERATE_RBF_FILE

PIN_FILEAssociates a Pin-Out File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device family

Page 227 - GENERATE_TTF_FILE

POWER_INPUT_FILEAssociates a Power Input File (.pwf) with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any A

Page 228

PPF_FILESpecifies the name of the MegaWizard generated .ppf file containing core specific pin assignments. Thisfile will be loaded by Pin Planner.Type

Page 229 - HEXOUT_FILE_COUNT_DIRECTION

PROGRAMMER_OBJECT_FILEAssociates a Programmer Object File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting

Page 230 - HEXOUT_FILE_START_ADDRESS

PROJECT_OUTPUT_DIRECTORYSpecifies the directory in which to save all project output files such as the Text-Format Report Files (.rpt)and Equation File

Page 231 - MAX7000S_JTAG_USER_CODE

PROJECT_SHOW_ENTITY_NAMEDetermines whether to display the entity name for node namesTypeBooleanDevice SupportThis setting can be used in projects targ

Page 232 - MAX7000_JTAG_USER_CODE

PROJECT_USE_SIMPLIFIED_NAMESDetermines whether to use the simplified naming scheme.TypeBooleanDevice SupportThis setting can be used in projects targe

Page 233

QARLOG_FILEAssociates an Archive Log file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera devic

Page 234 - MERCURY_CONFIGURATION_DEVICE

QAR_FILEAssociates an Archive file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device famil

Page 235

QIP_FILEAssociates a Quartus II IP file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device

Page 236 - MERCURY_JTAG_USER_CODE

MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTHSpecifies the maximum allowable length of a chain of Compiler-synthesized parallel expander productterms.Old Nam

Page 237

QSYS_FILEAssociates a Qsys file (.qsys) with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device

Page 238 - POF_VERIFY_PROTECT

QUARTUS_PTF_FILEAssociates a Peripheral Template File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any

Page 239 - POR_SCHEME

QUARTUS_SBD_FILEAssociates a Quartus II System Build Descriptor File with this project.TypeFile nameDevice SupportThis setting can be used in projects

Page 240

QUARTUS_STANDARD_DELAY_FILEAssociates a Quartus II Standard Delay Format File with this project.TypeFile nameDevice SupportThis setting can be used in

Page 241

QVAR_FILEAssociates a Quartus II IP variation file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Alt

Page 242 - SECURITY_BIT

QXP_FILEAssociates a Quartus II Exported Partition (QXP) with this project as a source fileTypeFile nameDevice SupportThis setting can be used in proj

Page 243

RAW_BINARY_FILEAssociates a Raw Binary File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera dev

Page 244 - STRATIXII_MRAM_COMPATIBILITY

READ_OR_WRITE_IN_BYTE_ADDRESSDetermines whether to read or write Hexadecimal(.hex) File in byte addressable mode for this project.TypeEnumerationValue

Page 245 - STRATIX_CONFIGURATION_DEVICE

RECONFIGURABLE_REVISIONSpecifies a RECONFIGURABLE revision type.TypeStringDevice SupportThis setting can be used in projects targeting any Altera devi

Page 246

REVISION_TYPEDescribes the type of revision. The possible revision types are BASE, RECONFIGURABLE,AGGREGATE, CVP, and MASK. The default type is BASE.T

Page 247 - STRATIX_JTAG_USER_CODE

MAXII_OPTIMIZATION_TECHNIQUESpecifies the overall optimization goal for Analysis & Synthesis: attempt to maximize performance,minimize logic usage

Page 248

RUN_FULL_COMPILE_ON_DEVICE_CHANGERun Full Compilation when the device changesTypeBooleanDevice SupportThis setting can be used in projects targeting a

Page 249 - USE_CHECKSUM_AS_USERCODE

SAVE_MIGRATION_INFO_DURING_COMPILATIONOption to save out migration information during compilationOld NameHARDCOPYII_SAVE_MIGRATION_INFO_DURING_COMPILA

Page 250 - USE_CONFIGURATION_DEVICE

SBI_FILEAssociates a Slave Binary Image File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera de

Page 251 - Assignment Group Assignments

SDC_FILEAssociates a Synopsys Design Constraint File (.sdc) with this project.TypeFile nameDevice SupportThis setting can be used in projects targetin

Page 252 - ASSIGNMENT_GROUP_MEMBER

SDF_OUTPUT_FILEAssociates a Standard Delay Format Output File with this project.TypeFile nameDevice SupportThis setting can be used in projects target

Page 253 - Classic Timing Assignments

SERIAL_BITSTREAM_FILEAssociates a Serial Bitstream File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting an

Page 254 - CUT_OFF_IO_PIN_FEEDBACK

SIGNALTAP_FILEAssociates a SignalTap II file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera de

Page 255

SIP_FILEAssociates a Simulation IP File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device

Page 256

SLD_FILEAssociates a file with this project. Files assigned to this assignment will be archived by the Project Archivecommand if the 'Project sou

Page 257 - DEFAULT_HOLD_MULTICYCLE

SMF_FILEAssociates a State Machine file (.smf) with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera

Page 258 - DO_COMBINED_ANALYSIS

MAX_AUTO_GLOBAL_REGISTER_CONTROLSAllows the Compiler to choose the signals that feed the most control signal inputs to flipflops (excludingclock signa

Page 259

SOFTWARE_LIBRARY_FILEAssociates a Software library file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting an

Page 260 - INPUT_TRANSITION_TIME

SOPCINFO_FILEAssociates a Qsys or SOPC Builder report file with this project. If you select the Project source andsettings files option, the Project A

Page 261 - LVDS_FIXED_CLOCK_DATA_PHASE

SOPC_FILEAssociates a SOPC Builder file (.sopc) with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera

Page 262 - MAX_CORE_JUNCTION_TEMP

SOURCE_TCL_SCRIPT_FILERuns Tcl script file. This assignment has the same effect as 'source <filename>'.TypeFile nameDevice SupportThis

Page 263 - MIN_CORE_JUNCTION_TEMP

SPD_FILEAssociates a Simulation Package Descriptor File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting an

Page 264 - NOMINAL_CORE_SUPPLY_VOLTAGE

SRAM_OBJECT_FILEAssociates an SRAM Object File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera

Page 265 - PACKAGE_SKEW_COMPENSATION

SRECORDS_FILEAssociates a Motorola S-Record file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Alter

Page 266

SVF_FILEAssociates a Serial Vector Format File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera

Page 267

SYM_FILEAssociates a Symbol File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device family.

Page 268 - TIMEQUEST_DO_CCPP_REMOVAL

SYNTHESIS_ONLY_QIPDetermines whether a Quartus II IP File is not for simulation.TypeBooleanDevice SupportThis setting can be used in projects targetin

Page 269 - TIMEQUEST_DO_REPORT_TIMING

MAX_BALANCING_DSP_BLOCKSAllows you to specify the maximum number of DSP blocks that the DSP block balancer will assume existin the current device for

Page 270

SYSTEMVERILOG_FILEAssociates a SystemVerilog HDL source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeti

Page 271

TCL_SCRIPT_FILEAssociates a Tcl script file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera dev

Page 272 - TIMEQUEST_REPORT_SCRIPT

TEMPLATE_FILEAssociates a Template File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device

Page 273

TEXT_FILEAssociates a text file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device family.N

Page 274

TEXT_FORMAT_REPORT_FILEAssociates a text-format Report File with this project.TypeFile nameDevice SupportThis setting can be used in projects targetin

Page 275

TIMING_ANALYSIS_OUTPUT_FILEAssociates a Timing Analysis Output File with this project.TypeFile nameDevice SupportThis setting can be used in projects

Page 276 - Compiler Assignments

VCD_FILEAssociates a Verilog Value Change Dump File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Al

Page 277 - ALLOW_REGISTER_MERGING

VECTOR_TABLE_OUTPUT_FILEAssociates a Vector Table Output File with this project.TypeFile nameDevice SupportThis setting can be used in projects target

Page 278 - OPTIMIZATION_MODE

VECTOR_TEXT_FILEAssociates a text-format Vector File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any A

Page 279 - TIMEQUEST2

VECTOR_WAVEFORM_FILEAssociates a Vector Waveform File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any

Page 280 - Design Assistant Assignments

MAX_FANOUTDirects the Compiler to control the number of destinations the specified node feeds so the fan-out countdoes not exceed the value specified

Page 281 - ACLK_RULE_IMSZER_ADOMAIN

VERILOG_FILEAssociates a Verilog HDL source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Alter

Page 282 - ACLK_RULE_NO_SZER_ACLK_DOMAIN

VERILOG_INCLUDE_FILEAssociates a Verilog Include file with this project.Old NameVERILOG_VH_FILETypeFile nameDevice SupportThis setting can be used in

Page 283

VERILOG_OUTPUT_FILEAssociates a Verilog Output File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Al

Page 284

VERILOG_TEST_BENCH_FILEAssociates a Verilog HDL Test Bench File (.vt) with this project.TypeFile nameDevice SupportThis setting can be used in project

Page 285 - CLK_RULE_CLKNET_CLKSPINES

VER_COMPATIBLE_DB_DIRSpecifies the directory to which version-compatible database files should be savedTypeFile nameDevice SupportThis setting can be

Page 286

VHDL_FILEAssociates a VHDL source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera device f

Page 287 - CLK_RULE_COMB_CLOCK

VHDL_OUTPUT_FILEAssociates a VHDL Output File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any Altera d

Page 288 - CLK_RULE_GATED_CLK_FANOUT

VHDL_TEST_BENCH_FILEAssociates a VHDL Test Bench File (.vht) with this project.TypeFile nameDevice SupportThis setting can be used in projects targeti

Page 289 - CLK_RULE_INPINS_CLKNET

VQM_FILEAssociates a structural Verilog HDL source file with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting an

Page 290 - CLK_RULE_INV_CLOCK

ZIP_VECTOR_WAVEFORM_FILEAssociates a Compressed Vector Waveform File with this project.TypeFile nameDevice SupportThis setting can be used in projects

Page 291 - CLK_RULE_MIX_EDGES

MAX_LABSAllows you to specify the maximum number of LABs that Analysis & Synthesis should try to utilize for adevice. This option overrides the us

Page 292 - DA_CUSTOM_RULE_FILE

SignalProbe AssignmentsSIGNALPROBE_ALLOW_OVERUSEThis option controls whether the Quartus II Fitter will move nodes in a design in order to ensure that

Page 293 - DISABLE_DA_GX_RULE

SIGNALPROBE_CLOCKRegisters the output of the SignalProbe node and assigns the specified clock to this register.TypeStringDevice SupportThis setting ca

Page 294 - DISABLE_DA_RULE

SIGNALPROBE_DURING_NORMAL_COMPILATIONWhen enabled, SignalProbe signals will be routed during normal compilation.TypeBooleanDevice SupportThis setting

Page 295 - DRC_DEADLOCK_STATE_LIMIT

SIGNALPROBE_ENABLESelects whether SignalProbe routing is enabled for current node.TypeBooleanDevice SupportThis setting can be used in projects target

Page 296 - DRC_DETAIL_MESSAGE_LIMIT

SIGNALPROBE_NUM_REGISTERSSpecifies the number of registers to insert before the output of the SignalProbe pin.TypeIntegerDevice SupportThis setting ca

Page 297 - DRC_FANOUT_EXCEEDING

SIGNALPROBE_SOURCEAssigns the source of the signal to be routed to the specified SignalProbe node.TypeStringDevice SupportThis setting can be used in

Page 298 - DRC_GATED_CLOCK_FEED

SignalTap II AssignmentsENABLE_LOGIC_ANALYZER_INTERFACEEnables Logic Analyzer Interface for compilationTypeBooleanDevice SupportThis setting can be us

Page 299 - DRC_REPORT_FANOUT_EXCEEDING

ENABLE_SIGNALTAPEnables the SignalTap II Logic Analyzer for compilationTypeBooleanDevice SupportThis setting can be used in projects targeting any Alt

Page 300 - DRC_REPORT_TOP_FANOUT

STP_FILEAssociates a SignalTap II Logic Analyzer File with this project.TypeFile nameDevice SupportThis setting can be used in projects targeting any

Page 301 - DRC_TOP_FANOUT

USE_LOGIC_ANALYZER_INTERFACE_FILESpecifies the Logic Analyzer Interface File to be used for compilation.TypeFile nameDevice SupportThis setting can be

Page 302 - DRC_VIOLATION_MESSAGE_LIMIT

MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMSAllows you to specify the maximum number of registers that Analysis & Synthesis can use for conversion

Page 303 - ENABLE_DA_RULE

USE_SIGNALTAP_FILESpecifies the SignalTap II Logic Analyzer File to be used for compilation.TypeFile nameDevice SupportThis setting can be used in pro

Page 304 - ENABLE_DRC_SETTINGS

Simulator AssignmentsACTIONSpecifies the breakpoint's action when triggered.TypeEnumerationValues• Give Error• Give Info• Give Warning• StopDevic

Page 305

ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMSAdds output pins to the simulation vector output waveforms automatically.TypeBooleanDevice SupportThis

Page 306 - FSM_RULE_DEADLOCK_STATE

ADD_TO_SIMULATION_OUTPUT_WAVEFORMSAdds the signal to the list of signals for which output waveforms are shown in the simulation report. Thisoption mak

Page 307 - FSM_RULE_NO_RESET_STATE

ALIASSpecifies an alias for the full hierarchical name of the node.TypeStringDevice SupportThis setting can be used in projects targeting any Altera d

Page 308 - FSM_RULE_NO_SZER_ACLK_DOMAIN

AUTO_USE_SIMULATION_PDB_NETLISTAutomatically saves/loads simulation netlist to/from external fileTypeBooleanDevice SupportThis setting can be used in

Page 309 - FSM_RULE_UNREACHABLE_STATE

BREAKPOINT_STATESpecifies the state of a breakpoint as either enabled or disabled.TypeEnumerationValues• Disabled• EnabledDevice SupportThis setting c

Page 310 - FSM_RULE_UNUSED_TRANSITION

CHECK_OUTPUTSChecks expected outputs vs. actual outputs in the simulation report.TypeBooleanDevice SupportThis setting can be used in projects targeti

Page 311 - HARDCOPY_FLOW_AUTOMATION

END_TIMESpecifies the end time for simulation.TypeTimeDevice SupportThis setting can be used in projects targeting any Altera device family.NotesNoneS

Page 312 - HARDCOPY_NEW_PROJECT_PATH

EXTERNAL_PIN_CONNECTIONSpecifies an external pin connection between an output pin and an input pin. This option is used duringsimulations only.TypeStr

Page 313 - HCPY_CAT

MAX_RAM_BLOCKS_M4KAllows you to specify the maximum number of M4K,M9K,M20K,or M10K memory blocks that theCompiler may use for a device. This option ov

Page 314

GLITCH_DETECTIONMonitors the design for user-defined glitches (spikes).TypeBooleanDevice SupportThis setting can be used in projects targeting any Alt

Page 315 - HCPY_VREF_PINS

GLITCH_INTERVALAllows you to detect glitches and specify the time interval that defines a glitch. If two logic leveltransitions occur in a period shor

Page 316 - NONSYNCHSTRUCT_CAT

IMMEDIATE_ASSERTION_FAIL_ACTIONSpecifies the immediate assertion's action when the assertion fails.TypeEnumerationValues• Give Error• Give Info•

Page 317 - NONSYNCHSTRUCT_RULE_ASYN_RAM

IMMEDIATE_ASSERTION_FAIL_MESSAGESpecifies the immediate assertion's message when the assertion fails.TypeStringDevice SupportThis setting can be

Page 318 - NONSYNCHSTRUCT_RULE_COMBLOOP

IMMEDIATE_ASSERTION_PASS_MESSAGESpecifies the immediate assertion's message when the assertion passes.TypeStringDevice SupportThis setting can be

Page 319

IMMEDIATE_ASSERTION_STATESpecifies the state of an immediate assertion as either enabled or disabled.TypeEnumerationValues• Disabled• EnabledDevice Su

Page 320

IMMEDIATE_ASSERTION_TEST_CONDITIONSpecifies the immediate assertion's test condition.TypeStringDevice SupportThis setting can be used in projects

Page 321

INCREMENTAL_VECTOR_INPUT_SOURCESpecifies the source of input vectors to be used for simulation.TypeFile nameDevice SupportThis setting can be used in

Page 322

PASSIVE_RESISTORSpecifies whether an output or bidirectional pin has a pull-up or pull-down resistor. This option is used infunctional simulations onl

Page 323

SETUP_HOLD_DETECTIONDetects setup and hold time violations.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device f

Page 324 - NONSYNCHSTRUCT_RULE_REG_LOOP

MAX_RAM_BLOCKS_M512Allows you to specify the maximum number of M512 memory blocks that the Compiler may utilize for adevice. This option overrides the

Page 325

SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLEDDisables setup and hold time violations detection in input registers of bi-directional pins.Ty

Page 326 - NONSYNCHSTRUCT_RULE_SRLATCH

SETUP_HOLD_TIME_VIOLATION_DETECTIONEnables setup and hold time violation detection during simulation.TypeBooleanDevice SupportThis setting can be used

Page 327 - RESET_CAT

SIMULATION_BUS_CHANNEL_GROUPINGAutomatically groups bus channels in the output waveforms which are shown in the simulation report.TypeBooleanDevice Su

Page 328 - RESET_RULE_COMB_ASYNCH_RESET

SIMULATION_CELL_DELAY_MODEL_TYPESpecifies the type of delay model to be used for cell delays : transport or inertialTypeEnumerationValues• Inertial• T

Page 329

SIMULATION_COMPARE_SIGNALSpecifies the signal to be compared in a waveform comparison.TypeBooleanDevice SupportThis setting can be used in projects ta

Page 330 - RESET_RULE_IMSYNCH_EXRESET

SIMULATION_COMPLETE_COVERAGE_REPORT_PANELDisplay report on output ports that toggle between 1 and 0 during simulation.TypeBooleanDevice SupportThis se

Page 331

SIMULATION_COVERAGEReports 'coverage,' that is, the ratio of output ports that toggle between 1 and 0 during simulation,compared to the tota

Page 332 - RESET_RULE_UNSYNCH_EXRESET

SIMULATION_DEFAULT_VECTOR_COMPARE_TOLERANCESpecifies the default comparison timing tolerance to be used in a waveform comparison.TypeTimeDevice Suppor

Page 333 - SIGNALRACE_CAT

SIMULATION_INTERCONNECT_DELAY_MODEL_TYPESpecifies the type of delay model to be used for interconnect delays : transport or inertialTypeEnumerationVal

Page 334 - SIGNALRACE_RULE_CLK_PORT_RACE

SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANELDisplay report on output ports that do not toggle to 0 during simulation.TypeBooleanDevice SupportThis

Page 335 - SIGNALRACE_RULE_RESET_RACE

BOARD_MODEL_NEAR_SERIES_RSpecifies, in ohms, the board trace model near series resistance.TypeStringDevice SupportThis setting can be used in projects

Page 336

MAX_RAM_BLOCKS_MRAMAllows you to specify the maximum number of M-RAM/M144K memory blocks that the Compiler mayutilize for a device. This option overri

Page 337 - SIGNALRACE_RULE_TRISTATE

SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANELDisplay report on output ports that do not toggle to 1 during simulation.TypeBooleanDevice SupportThis

Page 338 - TIMING_CAT

SIMULATION_MODESpecifies the type of simulation to perform for the current Simulation focus.TypeEnumerationValues• Functional• Timing• Timing using Fa

Page 339

SIMULATION_NETLIST_VIEWEREnables the Simulation Netlist Viewer.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera devi

Page 340

SIMULATION_SIGNAL_COMPARE_TOLERANCESpecifies the comparison timing tolerance to be used for each signal in a waveform comparison.TypeTimeDevice Suppor

Page 341

SIMULATION_VDB_RESULT_FLUSHFlushes signal transitions from memory to disk for memory optimizationTypeBooleanDevice SupportThis setting can be used in

Page 342 - EDA_BOARD_DESIGN_SYMBOL_TOOL

SIMULATION_VECTOR_COMPARE_BEGIN_TIMESpecifies the begin time at which waveform comparison on simulation results should start.TypeTimeDevice SupportThi

Page 343 - EDA_BOARD_DESIGN_TIMING_TOOL

SIMULATION_VECTOR_COMPARE_END_TIMESpecifies the end time at which waveform comparison on simulation results should stop.TypeTimeDevice SupportThis set

Page 344 - EDA_BOARD_DESIGN_TOOL

SIMULATION_VECTOR_COMPARE_RULE_FOR_0Specifies vector values that match with expected strong low value (0) in the waveform fileTypeStringDevice Support

Page 345

SIMULATION_VECTOR_COMPARE_RULE_FOR_1Specifies vector values that match with expected strong high value (1) in the waveform fileTypeStringDevice Suppor

Page 346 - EDA_DESIGN_INSTANCE_NAME

SIMULATION_VECTOR_COMPARE_RULE_FOR_DCSpecifies vector values that match with expected don't care value (DC) in the waveform fileTypeStringDevice

Page 347 - EDA_ENABLE_GLITCH_FILTERING

MERCURY_CARRY_CHAIN_LENGTHSpecifies the maximum allowable length of a chain of both user-entered and Compiler-synthesizedCARRY_SUM buffers. Carry chai

Page 348 - EDA_ENABLE_IPUTF_MODE

SIMULATION_VECTOR_COMPARE_RULE_FOR_HSpecifies vector values that match with expected weak high value (H) in the waveform fileTypeStringDevice SupportT

Page 349 - EDA_EXTRA_ELAB_OPTION

SIMULATION_VECTOR_COMPARE_RULE_FOR_LSpecifies vector values that match with expected weak low value (L) in the waveform fileTypeStringDevice SupportTh

Page 350 - EDA_FLATTEN_BUSES

SIMULATION_VECTOR_COMPARE_RULE_FOR_USpecifies vector values that match with expected uninitialized value (U) in the waveform fileTypeStringDevice Supp

Page 351

SIMULATION_VECTOR_COMPARE_RULE_FOR_WSpecifies vector values that match with expected weak unknown value (W) in the waveform fileTypeStringDevice Suppo

Page 352 - EDA_FORMAL_VERIFICATION_TOOL

SIMULATION_VECTOR_COMPARE_RULE_FOR_XSpecifies vector values that match with expected unknown value (X) in the waveform fileTypeStringDevice SupportThi

Page 353 - EDA_FV_HIERARCHY

SIMULATION_VECTOR_COMPARE_RULE_FOR_ZSpecifies vector values that match with expected high impedance value (Z) in the waveform fileTypeStringDevice Sup

Page 354

SIMULATION_WITH_AUTO_GLITCH_FILTERINGSpecifies whether or not glitch filtering should be performed during Timing Simulation.TypeEnumerationValues• Alw

Page 355

SIMULATION_WITH_GLITCH_FILTERING_IN_NORMAL_FLOWSpecifies whether or not glitch filtering should be used when Generate Signal Activity File and Generat

Page 356 - EDA_GENERATE_POWER_INPUT_FILE

SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAFSpecifies whether or not glitch filtering should be used when generating the Signal Activity File

Page 357

SIMULATOR_GENERATE_POWERPLAY_VCD_FILESpecifies whether or not a VCD File for PowerPlay Power Analyzer should be written out.TypeBooleanDevice SupportT

Page 358

MERCURY_OPTIMIZATION_TECHNIQUESpecifies the overall optimization goal for Analysis & Synthesis: attempt to maximize performance orminimize logic u

Page 359 - EDA_IBIS_MODEL_SELECTOR

SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILESpecifies whether or not a Signal Activity File should be written out.TypeBooleanDevice SupportThis setting can

Page 360 - EDA_IBIS_MUTUAL_COUPLING

SIMULATOR_POWERPLAY_VCD_FILE_END_TIMESpecifies the end time for the PowerPlay Power Analyzer VCD file.TypeTimeDevice SupportThis setting can be used i

Page 361

SIMULATOR_POWERPLAY_VCD_FILE_OUTPUT_DESTINATIONSpecifies the name the VCD File for PowerPlay Power Analyzer should be written to.TypeFile nameDevice S

Page 362 - EDA_IPFS_FILE

SIMULATOR_POWERPLAY_VCD_FILE_START_TIMESpecifies the start time for the PowerPlay Power Analyzer VCD file.TypeTimeDevice SupportThis setting can be us

Page 363 - EDA_LAUNCH_CMD_LINE_TOOL

SIMULATOR_PVT_TIMING_MODEL_TYPESpecifies the type of PVT Timing Model to use for the current Simulation focus.TypeEnumerationValues• Auto• Model_1• Mo

Page 364 - EDA_MAINTAIN_DESIGN_HIERARCHY

SIMULATOR_SIGNAL_ACTIVITY_FILE_END_TIMESpecifies the time at which toggle rates and static probabilities should stop being calculated.TypeTimeDevice S

Page 365 - EDA_MAP_ILLEGAL_CHARACTERS

SIMULATOR_SIGNAL_ACTIVITY_FILE_OUTPUT_DESTINATIONSpecifies the name the Signal Activity File should be written to.TypeFile nameDevice SupportThis sett

Page 366

SIMULATOR_SIGNAL_ACTIVITY_FILE_START_TIMESpecifies the time at which toggle rates and static probabilities should start to be calculated.TypeTimeDevic

Page 367

SIM_BEHAVIOR_SIMULATIONPerform QUASAR Behavior simulation to simulate a verilog designTypeBooleanDevice SupportThis setting can be used in projects ta

Page 368

SIM_COMPILE_HDL_FILESCollect a list of HDL files for compilation in QUASARTypeStringDevice SupportThis setting can be used in projects targeting any A

Page 369

MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CAREAllows you to specify whether you want the TimeQuest Timing Analyzer to

Page 370 - EDA_NETLIST_WRITER_OUTPUT_DIR

SIM_HDL_TOP_MODULE_NAMETop level module name provided from user to determine starting point of simulationTypeStringDevice SupportThis setting can be u

Page 371 - EDA_RESYNTHESIS_TOOL

SIM_OVERWRITE_WAVEFORM_INPUTSOverwrite simulation input file with simulation results.TypeBooleanDevice SupportThis setting can be used in projects tar

Page 372 - EDA_RTL_SIMULATION_RUN_SCRIPT

SIM_TAP_REGISTER_D_Q_PORTSAdds the D and Q ports of a register node to the list of signals for which output waveforms are shown inthe simulation repor

Page 373 - EDA_RTL_SIM_MODE

SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLESpecifies the duty cycle of compared clock used to trigger waveform comparison.TypeIntegerDevice SupportThis setti

Page 374 - EDA_RTL_TEST_BENCH_FILE_NAME

SIM_VECTOR_COMPARED_CLOCK_OFFSETSpecifies the offset of compared clock used to trigger waveform comparison.TypeTimeDevice SupportThis setting can be u

Page 375 - EDA_RTL_TEST_BENCH_NAME

SIM_VECTOR_COMPARED_CLOCK_PERIODSpecifies the period of compared clock used to trigger waveform comparison.TypeTimeDevice SupportThis setting can be u

Page 376 - EDA_RTL_TEST_BENCH_RUN_FOR

START_TIMESpecifies the start time for simulation.TypeTimeDevice SupportThis setting can be used in projects targeting any Altera device family.Syntax

Page 377 - EDA_SDC_FILE_NAME

TRIGGER_EQUATIONSpecifies the breakpoint's trigger equation.TypeStringDevice SupportThis setting can be used in projects targeting any Altera dev

Page 378

TRIGGER_VECTOR_COMPARE_ON_SIGNALTrigger vector comparison with the specified signal.TypeBooleanDevice SupportThis setting can be used in projects targ

Page 379 - EDA_SIMULATION_RUN_SCRIPT

USER_MESSAGESpecifies the breakpoint's message when triggered.TypeStringDevice SupportThis setting can be used in projects targeting any Altera d

Page 380 - EDA_SIMULATION_TOOL

MUX_RESTRUCTUREAllows the Compiler to reduce the number of logic elements required to implement multiplexers in adesign. This option is useful if your

Page 381

VECTOR_COMPARE_TRIGGER_MODESpecifies the comparison mode to trigger vector comparison.TypeEnumerationValues• ALL_EDGE• INPUT_EDGE• SELECTED_EDGEDevice

Page 382

VECTOR_INPUT_SOURCESpecifies the source of input vectors to be used for simulation.TypeFile nameDevice SupportThis setting can be used in projects tar

Page 383

VECTOR_OUTPUT_DESTINATIONSpecifies the output vector file for the current simulation.TypeFile nameDevice SupportThis setting can be used in projects t

Page 384

VECTOR_OUTPUT_FORMATSpecifies the format of simulation results.TypeEnumerationValues• CVWF• VCD• VWFDevice SupportThis setting can be used in projects

Page 385 - EDA_TEST_BENCH_ENABLE_STATUS

X_ON_VIOLATION_OPTIONGives user the option to see 'X' or valid data at the output of registers in the event of a timing violationduring simu

Page 386

NOT_GATE_PUSH_BACKAllows the Compiler to push an inversion (that is, a NOT gate) back through a register and implement iton that register's data

Page 387

NUMBER_OF_INVERTED_REGISTERS_REPORTEDAllows you to specify the maximum number of inverted registers that the Synthesis Report should display.TypeInteg

Page 388 - EDA_TEST_BENCH_FILE

NUMBER_OF_REMOVED_REGISTERS_REPORTEDAllows you to specify the maximum number of removed registers that the Synthesis Report shoulddisplay.TypeIntegerD

Page 389 - EDA_TEST_BENCH_FILE_NAME

NUMBER_OF_SWEPT_NODES_REPORTEDAllows you to specify the maximum number of swept nodes that the Synthesis Report displays. A sweptnode is any node whic

Page 390

NUMBER_OF_SYNTHESIS_MIGRATION_ROWSAllows you to specify the maximum number of rows that a report in Synthesis Migration Checks shoulddisplay.TypeInteg

Page 391 - EDA_TEST_BENCH_MODULE_NAME

BOARD_MODEL_NEAR_TLINE_C_PER_LENGTHSpecifies, in farads/inch, the board trace model near transmission line distributed capacitance.TypeStringDevice Su

Page 392 - EDA_TEST_BENCH_NAME

OPTIMIZATION_TECHNIQUESpecifies the overall optimization goal for Analysis & Synthesis: attempt to maximize performance,minimize logic usage, or b

Page 393 - EDA_TEST_BENCH_RUN_FOR

OPTIMIZE_POWER_DURING_SYNTHESISControls the power-driven compilation setting of Analysis & Synthesis. This option determines howaggressively Analy

Page 394 - EDA_TEST_BENCH_RUN_SIM_FOR

PARALLEL_EXPANDER_CHAIN_LENGTHSpecifies the maximum allowable length of a chain of Compiler-synthesized parallel expander productterms.Old NameParalle

Page 395 - EDA_TIME_SCALE

PARALLEL_SYNTHESISOption to enable/disable parallel synthesisTypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device

Page 396 - EDA_TIMING_ANALYSIS_TOOL

PARAMETERAssigns an attribute that determines the logic created or used to implement the function, for example, thewidth of a bus. Parameters are char

Page 397

POWER_UP_LEVELCauses a register to power up with the specified logic level, either High (1) or Low (0). If this option isspecified for an input pin, i

Page 398

PRESERVE_FANOUT_FREE_NODEPrevents a register that has no fan-out from being removed during synthesis.TypeBooleanDevice SupportThis setting can be used

Page 399 - EDA_VHDL_ARCH_NAME

PRESERVE_REGISTERPrevents a register from minimizing away during synthesis and prevents sequential netlist optimizations.Sequential netlist optimizati

Page 400

PRE_MAPPING_RESYNTHESISSpecifies that the Quartus II software should perform a resynthesis optimization step immediately beforetechnology mapping. The

Page 401

PRPOF_IDSpecifies whether a register is a unique partial reconfiguration bitstream identifier. The same identifiervalue will be used to generate the p

Page 402

BOARD_MODEL_NEAR_TLINE_LENGTHSpecifies, in inches, the board trace model near transmission line length.TypeStringDevice SupportThis setting can be use

Page 403

RBCGEN_CRITICAL_WARNING_TO_ERRORTo convert Quartus II critical warning to error.TypeBooleanDevice SupportThis setting can be used in projects targetin

Page 404 - EQC_AUTO_BREAK_CONE

REMOVE_DUPLICATE_REGISTERSRemoves a register if it is identical to another register. If two registers generate the same logic, the secondone will be d

Page 405 - EQC_AUTO_COMP_LOOP_CUT

REMOVE_REDUNDANT_LOGIC_CELLSRemoves redundant LCELL primitives or WYSIWYG primitives. Turning this option on optimizes acircuit for area and speed. Th

Page 406 - EQC_AUTO_INVERSION

REPORT_CONNECTIVITY_CHECKSSpecifies whether the synthesis report should include the panels in the Connectivity Checks folderTypeBooleanDevice SupportT

Page 407 - EQC_AUTO_PORTSWAP

REPORT_PARAMETER_SETTINGSSpecifies whether the synthesis report should include the panels in the Parameter Settings by EntityInstance folderOld NameSH

Page 408 - EQC_AUTO_TERMINATE

REPORT_SOURCE_ASSIGNMENTSSpecifies whether the synthesis report should include the panels in the Source Assignments folderTypeBooleanDevice SupportThi

Page 409 - EQC_BBOX_MERGE

RESYNTHESIS_OPTIMIZATION_EFFORTSpecifies whether the resynthesis tool should focus on fmax or area during resynthesis.TypeEnumerationValues• Low• Norm

Page 410 - EQC_CONSTANT_DFF_DETECTION

RESYNTHESIS_PHYSICAL_SYNTHESISSpecifies the physical synthesis level for resynthesis.TypeEnumerationValues• ADVANCED• NormalDevice SupportThis setting

Page 411 - EQC_DETECT_DONT_CARES

RESYNTHESIS_RETIMINGSpecifies the paths on which retiming will be performed: all paths, register-to-register paths only, or none.TypeEnumerationValues

Page 412 - EQC_DFF_SS_EMULATION

SAFE_STATE_MACHINETells the compiler to implement state machines that can recover gracefully from an illegal state.TypeBooleanDevice SupportThis setti

Page 413 - EQC_DUPLICATE_DFF_DETECTION

BOARD_MODEL_NEAR_TLINE_L_PER_LENGTHSpecifies, in henrys/inch, the board trace model near transmission line distributed inductance.TypeStringDevice Sup

Page 414 - EQC_LVDS_MERGE

SAVE_DISK_SPACESaves disk space by reducing the number of node names available for entering assignments, simulation,timing analysis, reporting, etc.Ty

Page 415 - EQC_MAC_REGISTER_UNPACK

SEARCH_PATHSpecifies the path name of a user-defined library.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device

Page 416 - EQC_PARAMETER_CHECK

SHIFT_REGISTER_RECOGNITION_ACLR_SIGNALAllows the Compiler to find a group of shift registers of the same length that can be replaced with thealtshift_

Page 417 - EQC_POWER_UP_COMPARE

SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGESAllows the Compiler to skip the fitting stage during smart recompilation when design changes may affe

Page 418 - EQC_RAM_REGISTER_UNPACK

STATE_MACHINE_PROCESSINGSpecifies the processing style used to compile a state machine. You can use your own 'User-Encoded' style,or select

Page 419 - EQC_RAM_UNMERGING

STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECTDirects the compiler to not modify the Force Signal Detect and Signal Thresho

Page 420 - EQC_RENAMING_RULES

STRATIXII_CARRY_CHAIN_LENGTHSpecifies the maximum allowable length of a chain of both user-entered and Compiler-synthesizedCARRY_SUM buffers. Carry ch

Page 421 - EQC_RENAMING_RULES_LIST

STRATIXII_OPTIMIZATION_TECHNIQUESpecifies the overall optimization goal for Analysis & Synthesis: attempt to maximize performance,minimize logic u

Page 422

STRATIX_CARRY_CHAIN_LENGTHSpecifies the maximum allowable length of a chain of both user-entered and Compiler-synthesizedCARRY_SUM buffers. Carry chai

Page 423 - EQC_SHOW_ALL_MAPPED_POINTS

STRATIX_OPTIMIZATION_TECHNIQUESpecifies the overall optimization goal for Analysis & Synthesis: attempt to maximize performance,minimize logic usa

Page 424 - EQC_STRUCTURE_MATCHING

BOARD_MODEL_TERMINATION_VSpecifies, in volts, the board trace model termination voltage.TypeStringDevice SupportThis setting can be used in projects t

Page 425 - EQC_SUB_CONE_REPORT

STRICT_RAM_RECOGNITIONWhen this option is ON, the Compiler is only allowed to replace RAM if the hardware matches the designexactly.TypeBooleanDevice

Page 426 - Fitter Assignments

SYNCHRONIZATION_REGISTER_CHAIN_LENGTHThis setting specifies the maximum number of registers in a row to be considered as a synchronizationchain. Synch

Page 427 - ADCE_ENABLED

SYNTHESIS_EFFORTControls the synthesis trade-off between compilation speed and performance and area. The default is'Auto'. You can select &a

Page 428

SYNTHESIS_KEEP_SYNCH_CLEAR_PRESET_BEHAVIOR_IN_UNMAPPERWhen this option is set to On, synthesis will keep the synchronous clear/preset behavior when re

Page 429

SYNTHESIS_S10_MIGRATION_CHECKSOption to enable/disable Arria 10 to Stratix 10 Synthesis Migration Checks.TypeBooleanDevice SupportThis setting can be

Page 430 - ALM_REGISTER_PACKING_EFFORT

SYNTH_CLOCK_MUX_PROTECTIONCauses the multiplexers in the clock network to be decomposed to 2to1 multiplexer trees, and protectedfrom being merged with

Page 431 - ALWAYS_ENABLE_INPUT_BUFFERS

SYNTH_GATED_CLOCK_CONVERSIONAutomatically converts gated clocks in the design to use clock enable pins if clock enable pins are not usedin the origina

Page 432 - APEX20KE_DEVICE_IO_STANDARD

SYNTH_MESSAGE_LEVELSpecifies the type of Analysis & Synthesis messages you want to view. Setting this option to 'Low' allowsyou to view

Page 433 - APEX20K_CONFIGURATION_SCHEME

SYNTH_PROTECT_SDC_CONSTRAINTCauses SDC constraint checking in register merging. It helps to maintain the validity of SDC constraintsthrough compilatio

Page 434

SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAMSpecifies whether RAM, ROM, and shift-register inference should take the design and device resourcesinto a

Page 435 - APEX20K_DEVICE_IO_STANDARD

BOARD_MODEL_TLINE_C_PER_LENGTHSpecifies, in farads/inch, the board trace model far transmission line distributed capacitance.TypeStringDevice SupportT

Page 436 - APEXII_CONFIGURATION_SCHEME

SYNTH_TIMING_DRIVEN_SYNTHESISAllows synthesis to use timing information during synthesis to better optimize the design.TypeBooleanDevice SupportThis s

Page 437 - APEXII_DEVICE_IO_STANDARD

TOP_LEVEL_ENTITYSpecifies the full hierarchichal path of the entity that is the focus of the current compilation or simulation.Old NameFOCUS_ENTITY_NA

Page 438

TRUE_WYSIWYG_FLOWSpecifies that the Quartus II software should not try to optimize this WYSIWYG design.TypeBooleanDevice SupportThis setting can be us

Page 439 - ASYNC_PIPELINE_REG_REACH

USER_LIBRARIESSpecifies the pathnames of user-defined libraries.TypeStringDevice SupportThis setting can be used in projects targeting any Altera devi

Page 440 - AUTO_C3_M9K_BIT_SKIP

USE_GENERATED_PHYSICAL_CONSTRAINTSSpecifies the physical constraints file generated by the resynthesis tool to be used by the Quartus IIsoftwareTypeBo

Page 441 - AUTO_DELAY_CHAINS

USE_HIGH_SPEED_ADDERTells the Compiler whether to use high speed adder circuitry to implement arithmetic functions or not.This option is useful for im

Page 442

USE_LOGICLOCK_CONSTRAINTS_IN_BALANCINGDirects the compiler to use LogicLock constraints during DSP and RAM balancing.TypeBooleanDevice SupportThis set

Page 443 - AUTO_GLOBAL_CLOCK

VERILOG_CONSTANT_LOOP_LIMITDefines the iteration limit for Verilog loops with loop conditions that evaluate to compile-time constantson each loop iter

Page 444 - AUTO_GLOBAL_MEMORY_CONTROLS

VERILOG_INPUT_VERSIONSpecifies the language dialect to use when processing Verilog Design Files: Verilog-1995 (IEEE Std.1364-1995), Verilog-2001 (IEEE

Page 445 - AUTO_GLOBAL_OE

VERILOG_LMF_FILESpecifies the default Library Mapping File (.lmf) for the current compilation.TypeFile nameDevice SupportThis setting can be used in p

Page 446 - AUTO_GLOBAL_REGISTER_CONTROLS

BOARD_MODEL_TLINE_LENGTHSpecifies, in inches, the board trace model far transmission line length.TypeStringDevice SupportThis setting can be used in p

Page 447 - AUTO_MERGE_PLLS

VERILOG_MACRODefines Verilog HDL macro - same as `define directiveTypeStringDevice SupportThis setting can be used in projects targeting any Altera de

Page 448 - AUTO_PACKED_REGISTERS_MAX

VERILOG_NON_CONSTANT_LOOP_LIMITDefines the iteration limit for Verilog loops with loop conditions that do not evaluate to compile-timeconstants on eac

Page 449 - Default Value

VERILOG_SHOW_LMF_MAPPING_MESSAGESDetermines whether to display messages describing the mappings used in the Library Mapping File.TypeBooleanDevice Sup

Page 450 - AUTO_TURBO_BIT

VHDL_INPUT_LIBRARYSpecifies the logical name of a user-defined VHDL design library : physical name.TypeStringDevice SupportThis setting can be used in

Page 451

VHDL_INPUT_VERSIONSpecifies the language dialect to use when processing VHDL Design Files: VHDL-1987 (IEEE Std1076-1987), VHDL-1993 (IEEE Std 1076-199

Page 452

VHDL_LMF_FILESpecifies the default Library Mapping File (.lmf) for the current compilation.TypeFile nameDevice SupportThis setting can be used in proj

Page 453

VHDL_SHOW_LMF_MAPPING_MESSAGESDetermines whether to display messages describing the mappings used in the Library Mapping File.TypeBooleanDevice Suppor

Page 454

Assembler AssignmentsAPEX20K_CONFIGURATION_DEVICESpecifies the configuration device that you want to use as the means of configuring the target APEXde

Page 455 - C3_M9K_BIT_SKIP

APEX20K_CONFIG_DEVICE_JTAG_USER_CODESpecifies user-defined information about the configuration device. The JTAG user code is an extension ofthe option

Page 456 - CARRY_OUT_PINS_LCELL_INSERT

APEX20K_JTAG_USER_CODESpecifies user-defined information about the target device. The JTAG user code is an extension of theoption register. This data

Page 457 - CDR_BANDWIDTH_PRESET

BOARD_MODEL_EBD_FILE_NAMESpecifies the Electronic Board Description (EBD) file that contains the path description for an I/O pin.TypeStringDevice Supp

Page 458 - CKN_CK_PAIR

BOARD_MODEL_TLINE_L_PER_LENGTHSpecifies, in henrys/inch, the board trace model far transmission line distributed inductance.TypeStringDevice SupportTh

Page 459 - CLAMPING_DIODE

ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDEAllows signaldetect to propogate from PCS to the core, which will be blocked if you fix the CDR lockupissue. This

Page 460 - CLOCK_ENABLE_ROUTING

AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODEAutomatically increments the JTAG user code in the second and subsequent configuration devices if thetarget

Page 461 - CLOCK_REGION

AUTO_RESTART_CONFIGURATIONDirects the device to restart the configuration process automatically if a data error is encountered. If thisoption is turne

Page 462 - CLOCK_TO_OUTPUT_DELAY

CLOCK_SOURCESpecifies whether the configuration device generates an internal clock or applies an external clock.TypeEnumerationValues• External• Inter

Page 463 - CONFIGURATION_VCCIO_LEVEL

COMPRESSION_MODEAllows you to compress SRAM Object Files (.sof) stored in a Programmer Object File (.pof) for aconfiguration device.TypeBooleanDevice

Page 464 - CRC_ERROR_CHECKING

CONFIGURATION_CLOCK_DIVISORSpecifies the clock frequency divisor, which is used to determine the period of the system clock.TypeStringDevice SupportTh

Page 465 - CRC_ERROR_OPEN_DRAIN

CONFIGURATION_CLOCK_FREQUENCYSpecifies the clock frequency of the configuration device.TypeStringDevice SupportThis setting can be used in projects ta

Page 466 - CURRENT_STRENGTH_NEW

CYCLONEIII_CONFIGURATION_DEVICESpecifies the configuration device that you want to use as the means of configuring the target device.TypeStringDevice

Page 467 - CVP_CONFDONE_OPEN_DRAIN

CYCLONEII_M4K_COMPATIBILITYDirect Quartus II software to produce programming files that are compatible with both rev A and rev Bsilicon. This option a

Page 468 - CVP_MODE

CYCLONE_CONFIGURATION_DEVICESpecifies the configuration device that you want to use as the means of configuring the target Cyclonedevice.TypeStringDev

Page 469

ENABLE_ADVANCED_IO_TIMINGAllows the TimeQuest Timing Analyzer to use Advanced I/O Timing to generate I/O timing results.Timing results are based on th

Page 470

DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICEDisables the nCS and OE internal pull-ups on the configuration device(s).Old NameDISABLE_CONF_DONE_AND_NSTA

Page 471

ENABLE_ADV_SEU_DETECTIONAllows you to enable the Advanced SEU Detection compiler to generate design SEU sensitivity map file. Ifthis option is turned

Page 472 - CYCLONEII_TERMINATION

ENABLE_AUTONOMOUS_PCIE_HIPDirects the device to release the PCIe HIP after the periphery is configured and before core configurationis completed. This

Page 473 - CYCLONE_CONFIGURATION_SCHEME

ENABLE_IO_WEAK_PULL_UP_DURING_CONFIGSet the IO to weak pull-up during configuration. By default the IO will be set to input tri-statedOld NameAuto res

Page 474 - D1_DELAY

ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICEAllows an EPC1 configuration device to operate in a 3.3 V environment.TypeBooleanDevice SupportThis setting ca

Page 475 - D1_FINE_DELAY

ENABLE_OCT_DONEThis option controls whether the INIT_DONE signal will be gated by OCT_DONE signal which indicatesthe Power-Up OCT calibration is compl

Page 476 - D2_DELAY

EN_SPI_IO_WEEK_PULLUPSet SPI IO pins to week pull-up prior to usermode, otherwise SPI IO pins will be input tri-statedTypeBooleanDevice SupportThis se

Page 477 - D3_DELAY

EN_USER_IO_WEEK_PULLUPSet IO to week pull-up prior to usermode, otherwise IO will be input tri-statedTypeBooleanDevice SupportThis setting can be used

Page 478 - D4_DELAY

EPROM_USE_CHECKSUM_AS_USERCODEUses the checksum value from the Programmer Object File (.pof) as the JTAG user code.TypeBooleanDevice SupportThis setti

Page 479 - D4_FINE_DELAY

FLEX10K_CONFIGURATION_DEVICESpecifies the configuration device that you want to use as the means of configuring the target FLEX10KE/10K/10KA/ACEX 1K d

Page 480 - D5_DELAY

OUTPUT_IO_TIMING_ENDPOINTSpecifies the node at which output I/O Timing ends.TypeEnumerationValues• Far End• Near EndDevice SupportThis setting can be

Page 481 - D5_FINE_DELAY

FLEX10K_CONFIG_DEVICE_JTAG_USER_CODESpecifies user-defined information about the configuration device. The JTAG user code is an extension ofthe option

Page 482 - D5_OCT_DELAY

FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICEAllows an EPC1 configuration device to operate in a 3.3-V environment.TypeBooleanDevice SupportThis se

Page 483 - D5_OE_DELAY

FLEX10K_JTAG_USER_CODESpecifies user-defined information about the target device. The JTAG user code is an extension of theoption register. This data

Page 484 - D6_DELAY

FLEX6K_CONFIGURATION_DEVICESpecifies the configuration device that you want to use as the means of configuring the target FLEX 6000device.Old NameCONF

Page 485 - D6_FINE_DELAY

FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICEAllows an EPC1 or EPC1441 configuration device to operate in a 3.3-V environment.TypeBooleanDevice Supp

Page 486 - D6_OCT_DELAY

GENERATE_HEX_FILEGenerates a Hexadecimal (Intel-format) Output File (.hexout) containing configuration data that can beprogrammed into a parallel data

Page 487 - D6_OE_DELAY

GENERATE_RBF_FILEGenerates a Raw Binary File (.rbf) containing configuration data that an intelligent external controller canuse to configure the targ

Page 488 - D6_OE_FINE_DELAY

GENERATE_TTF_FILEGenerates a Tabular Text File (.ttf) containing configuration data that an intelligent external controllercan use to configure the ta

Page 489 - DATA0_PIN

HARDCOPYII_POWER_ON_EXTRA_DELAYDirects HardCopy chip to wait before INIT_DONE pin goes high and before the chip is in user mode.TypeEnumerationValues•

Page 490 - DCLK_PIN

HEXOUT_FILE_COUNT_DIRECTIONSpecifies the count direction for the data in a Hexadecimal (Intel-Format) Output File (.hexout) as up ordown.Old NameHEX_F

Page 491

OUTPUT_IO_TIMING_FAR_END_VMEASSpecifies, in volts, the measurement voltage at the far-end.TypeStringDevice SupportThis setting can be used in projects

Page 492 - DDIO_INPUT_REGISTER

HEXOUT_FILE_START_ADDRESSSpecifies the starting memory address for a Hexadecimal (Intel-Format) Output File (.hexout).TypeStringDevice SupportThis set

Page 493 - DDIO_OUTPUT_REGISTER

MAX7000S_JTAG_USER_CODESpecifies user-defined information about the target device. The JTAG user code is an extension of theoption register. This data

Page 494 - DDIO_OUTPUT_REGISTER_DISTANCE

MAX7000_JTAG_USER_CODESpecifies user-defined information about the target device. The JTAG user code is an extension of theoption register. This data

Page 495

MAX7000_USE_CHECKSUM_AS_USERCODESets the JTAG user code to match the checksum value of the device programming file. The programmingfile is a Programme

Page 496

MERCURY_CONFIGURATION_DEVICESpecifies the configuration device that you want to use as the means of configuring the target Mercurydevice.Old NameCONFI

Page 497

MERCURY_CONFIG_DEVICE_JTAG_USER_CODESpecifies user-defined information about the configuration device. The JTAG user code is an extension ofthe option

Page 498

MERCURY_JTAG_USER_CODESpecifies user-defined information about the target device. The JTAG user code is an extension of theoption register. This data

Page 499 - DEVICE_INITIALIZATION_CLOCK

ON_CHIP_BITSTREAM_DECOMPRESSIONAllows the device to accept and decompress bitstreams during configuration. Produces compressedbitstreams and enables b

Page 500 - DEVICE_MIGRATION_LIST

POF_VERIFY_PROTECTProtect configuration data in internal flash from being read through JTAGTypeBooleanDevice SupportThis setting can be used in projec

Page 501

POR_SCHEMESpecifies device Power On Reset (POR) scheme.TypeEnumerationValues• Fast POR delay• Instant ON• Slow POR delayDevice SupportThis setting can

Page 502

OUTPUT_IO_TIMING_NEAR_END_VMEASSpecifies, in volts, the measurement voltage at the near-end.TypeStringDevice SupportThis setting can be used in projec

Page 503 - DPRIO_CHANNEL_NUM

RELEASE_CLEARS_BEFORE_TRI_STATESDirects the device to release the clear signal on registered logic cells and I/O cells before releasing theoutput enab

Page 504 - DPRIO_CRUCLK_NUM

RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GNDReserves all unused pins on the target device in one of three states: as inputs that are tri-stated, or asoutputs

Page 505 - DPRIO_INTERFACE_REG

SECURITY_BITEnables the security bit support, which prevents a device from being examined and reprogrammed.TypeBooleanDevice SupportThis setting can b

Page 506 - DPRIO_QUAD_NUM

STRATIXII_CONFIGURATION_DEVICESpecifies the configuration device that you want to use as the means of configuring the target device.Old NameSTRATIX_II

Page 507 - DPRIO_QUAD_PLL_NUM

STRATIXII_MRAM_COMPATIBILITYDirect Quartus II software to produce programming files that are compatible with both rev A and rev Bsilicon. This option

Page 508 - DPRIO_TX_PLL0_REFCLK_NUM

STRATIX_CONFIGURATION_DEVICESpecifies the configuration device that you want to use as the means of configuring the target device.Old NameYEAGER_CONFI

Page 509 - DPRIO_TX_PLL1_REFCLK_NUM

STRATIX_CONFIG_DEVICE_JTAG_USER_CODESpecifies user-defined information about the configuration device. The JTAG user code is an extension ofthe option

Page 510 - DPRIO_TX_PLL_NUM

STRATIX_JTAG_USER_CODESpecifies user-defined information about the target device. The JTAG user code is an extension of theoption register. This data

Page 511 - DQSB_DQS_PAIR

USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENTLoads a checkered pattern as initial RAM content into all RAM blocks without specified RAM contentth

Page 512 - DQSOUT_DELAY_CHAIN

USE_CHECKSUM_AS_USERCODESets the JTAG user code to match the checksum value of the device programming file. The programmingfile is a Programmer Object

Page 513 - DQS_ENABLE_DELAY_CHAIN

PCB_LAYERSpecifies which PCB layer the signal breaks out onTypeIntegerDevice SupportThis setting can be used in projects targeting any Altera device f

Page 514 - DQS_LOCAL_CLOCK_DELAY_CHAIN

USE_CONFIGURATION_DEVICESpecifies that you intend to use a configuration device(s) such as the EPC2 as the means of configuring thetarget device. This

Page 515 - DQ_GROUP

Assignment Group AssignmentsASSIGNMENT_GROUP_EXCEPTIONDefines a node(s) to be excluded as an excpetion to a previously added member. It can be an inst

Page 516

ASSIGNMENT_GROUP_MEMBERDefines an element of a group. It can be an instance name or a wildcard representing multiple instancenamesTypeStringDevice Sup

Page 517 - DUAL_PURPOSE_CLOCK_PIN_DELAY

Classic Timing AssignmentsANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTSDirects the Timing Analyzer to analyze latches as synchronous elements, rather than a

Page 518 - DUPLICATE_ATOM

CUT_OFF_IO_PIN_FEEDBACKCuts off feedback from I/O pins during timing analysis. Cutting off I/O pin feedback is especially usefulwhen a bidirectional p

Page 519 - DYNAMIC_OCT_CONTROL_GROUP

CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINSCuts the paths between registers clocked by unrelated clocks. This option makes the timing analysisreporting simila

Page 520 - ECO_ALLOW_ROUTING_CHANGES

CUT_OFF_READ_DURING_WRITE_PATHSCuts the path from the write enable register through the ESB to a destination register.TypeBooleanDevice SupportThis se

Page 521 - ECO_OPTIMIZE_TIMING

DEFAULT_HOLD_MULTICYCLEDetermines the default hold multicycle. The 'Same as Multicycle' setting ensures that the signal is latchedon the fin

Page 522 - ECO_REGENERATE_REPORT

DO_COMBINED_ANALYSISAnalyze both the fast corner (min delays) and the slow corner (max delays) and to report the results fromeach analysis.TypeBoolean

Page 523 - ENABLE_ASMI_FOR_FLASH_LOADER

EMIF_SOC_PHYCLK_ADVANCE_MODELINGInstructs routing annotation to adjust the AV-SoC Phyclk delays.TypeBooleanDevice SupportThis setting can be used in p

Page 524

PCB_LAYERSSpecifies the properties of all PCB layersTypeIntegerDevice SupportThis setting can be used in projects targeting any Altera device family.N

Page 525 - ENABLE_BOOT_SEL_PIN

INPUT_TRANSITION_TIMESpecifies the input transition time. This assignment is used in Quartus to adjust the timing of the I/Obuffers for all families t

Page 526 - ENABLE_BUS_HOLD_CIRCUITRY

LVDS_FIXED_CLOCK_DATA_PHASESpecifies exact skew compensation. When the fixed clock-to-data skew is known, clock datasynchronization (CDS) can be pre-p

Page 527 - ENABLE_CONFIGURATION_PINS

MAX_CORE_JUNCTION_TEMPThis is the maximum core junction temperature that will be encountered during operation. Specified indegrees CelsiusTypeStringDe

Page 528 - ENABLE_CRC_ERROR_PIN

MIN_CORE_JUNCTION_TEMPThis is the minimum core junction temperature that will be encountered during operation. Specified indegrees CelsiusTypeStringDe

Page 529 - ENABLE_CVP_CONFDONE

NOMINAL_CORE_SUPPLY_VOLTAGESpecifies the voltage for the core power supply. For Stratix III devices, the core supply voltage applies onlyto the VCCL p

Page 530 - ENABLE_DEVICE_WIDE_OE

PACKAGE_SKEW_COMPENSATIONIndicates that that the package skew for the signal has been compensated by the board trace delays.TypeBooleanDevice SupportT

Page 531 - ENABLE_DEVICE_WIDE_RESET

PLL_EXTERNAL_FEEDBACK_BOARD_DELAYSpecifies an external board delay between a feedback output pin and a feedback input pin (fbin) for a PLLin external

Page 532 - ENABLE_HOLD_BACK_OFF

TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORTInstructs the Fitter to aggressively optimize for hold timing closure.TypeBooleanDevice SupportThis setting can be u

Page 533 - ENABLE_INIT_DONE_OUTPUT

TIMEQUEST_DO_CCPP_REMOVALDirects the TimeQuest Timing Analyzer to remove common clock path pessimism (CCPP) during slackcomputation.TypeBooleanDevice

Page 534 - ENABLE_JTAG_BST_SUPPORT

TIMEQUEST_DO_REPORT_TIMINGDirects the TimeQuest Timing Analyzer to report the worst-case path per clock domain and analysis.TypeBooleanDevice SupportT

Page 535 - ENABLE_JTAG_PIN_SHARING

PCB_LAYER_THICKNESSThickness of the specific PCB layerTypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.

Page 536 - ENABLE_NCEO_OUTPUT

TIMEQUEST_MULTICORNER_ANALYSISDirects the TimeQuest Timing Analyzer to perform multicorner timing analysis, which analyzes thedesign against best-case

Page 537 - ENABLE_NCE_PIN

TIMEQUEST_REPORT_NUM_WORST_CASE_TIMING_PATHSSpecifies the maximum number of worst-case timing paths for the TimeQuest Timing Analyzer to reportper clo

Page 538 - ENABLE_NCONFIG_FROM_CORE

TIMEQUEST_REPORT_SCRIPTSpecifies the name of the tcl script that will be used to overwrite the default TimeQuest report panelscreated during a normal

Page 539 - ENABLE_PR_PINS

TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSISDirects the TimeQuest Timing Analyzer to perform default timing analysis prior to running the user-spe

Page 540 - ENABLE_VREFA_PIN

TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHSDirects the TimeQuest Timing Analyzer to report worst-case timing paths per clock domain and analysis.TypeBool

Page 541 - ENABLE_VREFB_PIN

USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAINInstructs STA to take DLL frequency into account while calculating phase shift of DQS delay chainTypeBooleanDevic

Page 542 - ERROR_CHECK_FREQUENCY_DIVISOR

Compiler AssignmentsALLOW_REGISTER_DUPLICATIONControls whether the Compiler is allowed to duplicate registers to improve design performance. Whenregis

Page 543 - EXCLUSIVE_IO_GROUP

ALLOW_REGISTER_MERGINGControls whether the Compiler is allowed to remove registers that are identical to other registers in thedesign. When register d

Page 544

OPTIMIZATION_MODEControls the Compiler's high-level optimization strategy. By default, the Quartus II Compiler optimizes ina balanced mode, targe

Page 545 - EXTERNAL_LVDS_RX_USES_DPA

TIMEQUEST2Controls whether the Compiler is allowed to use TimeQuest2 as its timing analysis engine.TypeEnumerationValues• FITTER_ONLY• OFF• ON• SIGNOF

Page 546 - FALLBACK_TO_EXTERNAL_FLASH

SYNCHRONOUS_GROUPA logic option that assigns a synchronous group number for the specified node. This option directs theSSN Analyzer to view the specif

Page 547 - FASTROW_INTERCONNECT

Design Assistant AssignmentsACLK_CATDirect Design Assistant to detect asynchronous clock domains on the design.TypeBooleanDevice SupportThis setting c

Page 548 - FINAL_PLACEMENT_OPTIMIZATION

ACLK_RULE_IMSZER_ADOMAINDirect Design Assistant to detect improper synchronizer which moves data across asynchronous domainboundaries on the design.Ty

Page 549

ACLK_RULE_NO_SZER_ACLK_DOMAINDirect Design Assistant to detect synchronizer between asynchronous clock domains on the design.TypeBooleanDevice Support

Page 550

ACLK_RULE_SZER_BTW_ACLK_DOMAINDirect Design Assistant to detect synchronizer for every signal between asynchronous clock domains onthe design.TypeBool

Page 551

CLK_CATDirect Design Assistant to check all clock-related violations on the design. Expand the items to turn offthe rule checking if irrelevant.TypeBo

Page 552

CLK_RULE_CLKNET_CLKSPINESDirect Design Assistant to check clock net not mapped to clock spines used on the design.TypeBooleanDevice SupportThis settin

Page 553 - FITTER_EFFORT

CLK_RULE_CLKNET_CLKSPINES_THRESHOLDSpecifies the threshold value for clock net not mapped to clock spines rule.TypeIntegerDevice SupportThis setting c

Page 554 - FIT_ATTEMPTS_TO_SKIP

CLK_RULE_COMB_CLOCKDirect Design Assistant to check combinatorial logic output used as on-chip clock on the design.TypeBooleanDevice SupportThis setti

Page 555 - FIT_ONLY_ONE_ATTEMPT

CLK_RULE_GATED_CLK_FANOUTDirect Design Assistant to check gated clock have feed to certain number of clock port to effectively savepower.TypeBooleanDe

Page 556 - FLEX10K_CONFIGURATION_SCHEME

CLK_RULE_INPINS_CLKNETDirect Design Assistant to check illegal input pins connected to clock net used on the design.TypeBooleanDevice SupportThis sett

Page 557

Analysis & Synthesis AssignmentsADV_NETLIST_OPT_ALLOWEDSpecifies whether the Compiler should perform advanced netlist optimizations, such as gate-

Page 558 - FLEX10K_DEVICE_IO_STANDARD

CLK_RULE_INV_CLOCKDirect Design Assistant to check inverted clock used on the design.TypeBooleanDevice SupportThis setting can be used in projects tar

Page 559 - FLEX10K_ENABLE_LOCK_OUTPUT

CLK_RULE_MIX_EDGESDirect Design Assistant to check mixed-clock edges used on the design.TypeBooleanDevice SupportThis setting can be used in projects

Page 560 - FLEX10K_MAX_PERIPHERAL_OE

DA_CUSTOM_RULE_FILEUsed to set the path for DA custom rule fileTypeFile nameDevice SupportThis setting can be used in projects targeting any Altera de

Page 561 - FLEX6K_CONFIGURATION_SCHEME

DISABLE_DA_GX_RULEPrevents Design Assistant from running when the Fitter is running.TypeStringDevice SupportThis setting can be used in projects targe

Page 562

DISABLE_DA_RULESuppress design assistant rule locally or turn off design assistant rule globally for general userTypeStringDevice SupportThis setting

Page 563 - FLEX6K_DEVICE_IO_STANDARD

DRC_DEADLOCK_STATE_LIMITSpecifies the maximum number of states that you want the Design Assistant to detect as a deadlockcondition. A larger number wi

Page 564 - FORCE_CONFIGURATION_VCCIO

DRC_DETAIL_MESSAGE_LIMITSpecifies the maximum number of detail messages that you want the Design Assistant to report.TypeIntegerDevice SupportThis set

Page 565

DRC_FANOUT_EXCEEDINGSpecifies the minimum amount of fan-out that a node must have to be reported by the Design Assistant.TypeIntegerDevice SupportThis

Page 566

DRC_GATED_CLOCK_FEEDSpecifies the minimum amount of clock port a gated clock must feed so that it's an acceptable gated clock.TypeIntegerDevice S

Page 567 - FORCE_MERGE_PLL

DRC_REPORT_FANOUT_EXCEEDINGDirects the Design Assistant to report all nodes with more than the specified amount of fan-out.TypeBooleanDevice SupportTh

Page 568 - FORCE_MERGE_PLL_FANOUTS

BOARD_MODEL_EBD_SIGNAL_NAMESpecifies the Electronic Board Description (EBD) path description to be used with an I/O pin. You mustspecify the EBD file

Page 569

ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAPSpecifies whether to perform WYSIWYG primitive resynthesis during synthesis. This option uses thesetting specified

Page 570 - FORM_DDR_CLUSTERING_CLIQUE

DRC_REPORT_TOP_FANOUTDirects the Design Assistant to report the specified number of nodes with the highest fan-out.TypeBooleanDevice SupportThis setti

Page 571 - GENERATE_GXB_RECONFIG_MIF

DRC_TOP_FANOUTSpecifies the number of nodes with the highest fan-out that you want the Design Assistant to report.TypeIntegerDevice SupportThis settin

Page 572

DRC_VIOLATION_MESSAGE_LIMITSpecifies the maximum number of violation messages that you want the Design Assistant to report.TypeIntegerDevice SupportTh

Page 573 - GLOBAL_SIGNAL

ENABLE_DA_RULEDesuppress design assistant rule locally or turn on design assistant rule globally for general userTypeStringDevice SupportThis setting

Page 574

ENABLE_DRC_SETTINGSDirects the Design Assistant to run during a compilation based on user settings.TypeBooleanDevice SupportThis setting can be used i

Page 575 - GNDIO_CURRENT_1PT8V

FSM_CATDirect Design Assistant to detect finite state machine rules on the design.TypeBooleanDevice SupportThis setting can be used in projects target

Page 576 - GNDIO_CURRENT_2PT5V

FSM_RULE_DEADLOCK_STATEDirect Design Assistant to detect deadlock state in state machine on the design.TypeBooleanDevice SupportThis setting can be us

Page 577 - GNDIO_CURRENT_GTL

FSM_RULE_NO_RESET_STATEDirect Design Assistant to detect if reset state is specified for state machine on the design.TypeBooleanDevice SupportThis set

Page 578 - GNDIO_CURRENT_GTL_PLUS

FSM_RULE_NO_SZER_ACLK_DOMAINDirect Design Assistant to detect synchronizer between asynchronous clock domains feeding to statemachine on the design.Ty

Page 579 - GNDIO_CURRENT_LVCMOS

FSM_RULE_UNREACHABLE_STATEDirect Design Assistant to detect unreachable state in state machine on the design.TypeBooleanDevice SupportThis setting can

Page 580 - GNDIO_CURRENT_LVTTL

ALLOW_ANY_RAM_SIZE_FOR_RECOGNITIONAllows the Compiler to infer RAMs of any size, even if they don't meet the current minimumrequirements.TypeBool

Page 581 - GNDIO_CURRENT_PCI

FSM_RULE_UNUSED_TRANSITIONDirect Design Assistant to detect unused transition in state machine on the design.TypeBooleanDevice SupportThis setting can

Page 582 - GNDIO_CURRENT_SSTL2_CLASS1

HARDCOPY_FLOW_AUTOMATIONSpecifies which HardCopy flow will be run in HardCopy timing wizardTypeEnumerationValues• COMPILE_NEW_PROJECT• FULL_COMPILATIO

Page 583 - GNDIO_CURRENT_SSTL2_CLASS2

HARDCOPY_NEW_PROJECT_PATHSpecifies the directory path for the new/migrated HardCopy project.TypeStringDevice SupportThis setting can be used in projec

Page 584 - GNDIO_CURRENT_SSTL3_CLASS1

HCPY_CATDirect Design Assistant to detect HardCopy rules on the design. All HardCopy rules apply to HardCopydevices only.TypeBooleanDevice SupportThis

Page 585 - GNDIO_CURRENT_SSTL3_CLASS2

HCPY_PLL_MULTIPLE_CLK_NETWORK_TYPESDirect Design Assistant to detect PLL that feeds multiple clock network types.TypeBooleanDevice SupportThis setting

Page 586

HCPY_VREF_PINSDirect Design Assistant to detect VREF pins on the design. This rule applies to HardCopy devices only.TypeBooleanDevice SupportThis sett

Page 587 - GXB_0PPM_CLOCK_GROUP

NONSYNCHSTRUCT_CATDirect Design Assistant to check for non-synchronous design structures on the design.TypeBooleanDevice SupportThis setting can be us

Page 588 - GXB_0PPM_CLOCK_GROUP_DRIVER

NONSYNCHSTRUCT_RULE_ASYN_RAMDirects the Design Assistant to detect asynchronous memories targeted by the design. This rule applies toHardCopy devices

Page 589 - GXB_0PPM_CORECLK

NONSYNCHSTRUCT_RULE_COMBLOOPDirect Design Assistant to check for combinatorial loop with unidentified function on the design.TypeBooleanDevice Support

Page 590 - GXB_0PPM_CORE_CLOCK

NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WEDirect Design Assistant to detect combinatorial logic dirving asynchronous RAM Write Enable signals onthe design

Page 591 - GXB_CLOCK_GROUP

ALLOW_ANY_ROM_SIZE_FOR_RECOGNITIONAllows the Compiler to infer ROMs of any size even if the ROMs do not meet the design's currentminimum size req

Page 592 - GXB_CLOCK_GROUP_DRIVER

NONSYNCHSTRUCT_RULE_DELAY_CHAINDirect Design Assistant to check for delay chain with unidentified function on the design.TypeBooleanDevice SupportThis

Page 593 - GXB_RECONFIG_GROUP

NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GENDirect Design Assistant to check illegal pulse generator on the design.TypeBooleanDevice SupportThis setting can

Page 594 - GXB_RECONFIG_MIF

NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIEDDirect Design Assistant to detect latch of unidentified type on the design.TypeBooleanDevice SupportThis setting

Page 595 - GXB_RECONFIG_MIF_PLL

NONSYNCHSTRUCT_RULE_MULTI_VIBRATORDirect Design Assistant to check multi-vibrator on the design.TypeBooleanDevice SupportThis setting can be used in p

Page 596

NONSYNCHSTRUCT_RULE_REG_LOOPDirect Design Assistant to check for combinatorial loop with output of register feeding its own controlsignal on the desig

Page 597 - GXB_RESERVED_TRANSMIT_CHANNEL

NONSYNCHSTRUCT_RULE_RIPPLE_CLKDirect Design Assistant to check ripple clock structure on the design.TypeBooleanDevice SupportThis setting can be used

Page 598 - GXB_TX_PLL_RECONFIG_GROUP

NONSYNCHSTRUCT_RULE_SRLATCHDirect Design Assistant to detect SR-latch on the design.TypeBooleanDevice SupportThis setting can be used in projects targ

Page 599

RESET_CATDirect Design Assistant to check reset-related violations on the design.TypeBooleanDevice SupportThis setting can be used in projects targeti

Page 600 - IGNORE_MODE_FOR_MERGE

RESET_RULE_COMB_ASYNCH_RESETDirect Design Assistant to check combinatorial logic output used as on-chip asynchronous reset on thedesign.TypeBooleanDev

Page 601

RESET_RULE_IMSYNCH_ASYNCH_DOMAINDirect Design Assistant to check for reset which is improperly synchronized in receiving asynchronousdomain on the des

Page 602

ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITIONAllows the Compiler to infer shift registers of any size even if they do not meet the design's curre

Page 603 - INCREASE_DELAY_TO_OUTPUT_PIN

RESET_RULE_IMSYNCH_EXRESETDirect Design Assistant to check improper synchronization of external reset on the design.TypeBooleanDevice SupportThis sett

Page 604

RESET_RULE_UNSYNCH_ASYNCH_DOMAINDirect Design Assistant to check for reset which is not synchronized in receiving asynchronous domainon the design.Typ

Page 605

RESET_RULE_UNSYNCH_EXRESETSuppress unsynchronized external reset rule.TypeBooleanDevice SupportThis setting can be used in projects targeting any Alte

Page 606

SIGNALRACE_CATDirect Design Assistant to check signal race on the design.TypeBooleanDevice SupportThis setting can be used in projects targeting any A

Page 607

SIGNALRACE_RULE_CLK_PORT_RACEDirect Design Assistant to check race condition between clock port and any other port of the sameregister.TypeBooleanDevi

Page 608

SIGNALRACE_RULE_RESET_RACEDirect Design Assistant to detect synchronous port and asynchronous port of same register driven bysame signal sourceTypeBoo

Page 609 - INC_PLC_MODE

SIGNALRACE_RULE_SECOND_SIGNAL_RACEDirect Design Assistant to detect more than one secondary signal of same register driven by same signalsourceTypeBoo

Page 610 - INIT_DONE_OPEN_DRAIN

SIGNALRACE_RULE_TRISTATEDirect Design Assistant to detect Tri-state signal race conditionTypeBooleanDevice SupportThis setting can be used in projects

Page 611 - INPUT_DELAY_CHAIN

TIMING_CATDirect Design Assistant to check timing closure related violations on the design.TypeBooleanDevice SupportThis setting can be used in projec

Page 612 - INPUT_REFERENCE

EDA Netlist Writer AssignmentsEDA_BOARD_BOUNDARY_SCAN_OPERATIONSpecify the BSDL file operation either for pre-configuration or post-configurationTypeE

Page 613 - INPUT_TERMINATION

ALLOW_CHILD_PARTITIONSSpecifies whether or not an instance or a section of design hierarchy can contain user partitions.TypeBooleanDevice SupportThis

Page 614 - INSERT_ADDITIONAL_LOGIC_CELL

EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOLSpecifies the boundary scan format used for board level boundary scan testing.TypeStringDevice SupportThis setting

Page 615 - INTERNAL_FLASH_UPDATE_MODE

EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOLSpecifies the EDA third-party tool used for board level signal integrity analysis.TypeStringDevice SupportThis s

Page 616 - INTERNAL_SCRUBBING

EDA_BOARD_DESIGN_SYMBOL_TOOLSpecifies the EDA third-party tool used for board level schematic design.TypeStringDevice SupportThis setting can be used

Page 617

EDA_BOARD_DESIGN_TIMING_TOOLSpecifies the EDA third-party tool used for board level timing analysis.TypeStringDevice SupportThis setting can be used i

Page 618

EDA_BOARD_DESIGN_TOOLSpecifies the EDA third-party tool used for board level design and analysis.TypeStringDevice SupportThis setting can be used in p

Page 619 - IO_MAXIMUM_TOGGLE_RATE

EDA_DESIGN_EXTRA_ALTERA_SIM_LIBSpecify additional ALTERA simulation model libraries required is used by the design filesTypeStringDevice SupportThis s

Page 620 - IO_PLACEMENT_OPTIMIZATION

EDA_DESIGN_INSTANCE_NAMESpecify the instance name of the design in the test benchTypeStringDevice SupportThis setting can be used in projects targetin

Page 621 - IO_STANDARD

EDA_ENABLE_GLITCH_FILTERINGWrite logic to filter glitches in the simulation netlist.TypeBooleanDevice SupportThis setting can be used in projects targ

Page 622 - LVDS_DIRECT_LOOPBACK_MODE

EDA_ENABLE_IPUTF_MODEAllows you to simulate designs containing hw.tcl based IP cores. This may require adding .sip files to yourQuartus II project. Th

Page 623 - LVDS_RX_REGISTER

EDA_EXTRA_ELAB_OPTIONAdditional custom simulation elaboration options for one or more simulators.TypeStringDevice SupportThis setting can be used in p

Page 624

ALLOW_POWER_UP_DONT_CARECauses registers that do not have a Power-Up Level logic option setting to power up with a don't carelogic level (X). A d

Page 625 - MATCH_PLL_COMPENSATION_CLOCK

EDA_FLATTEN_BUSESFlattens all buses when creating the VHDL Output File (.vho). You should turn on this option if yourthird-party EDA environment does

Page 626

EDA_FORMAL_VERIFICATION_ALLOW_RETIMINGAllow register retiming to be turned on for formal verificationTypeBooleanDevice SupportThis setting can be used

Page 627 - MAX7000B_VCCIO_IOBANK1

EDA_FORMAL_VERIFICATION_TOOLSpecifies the EDA third-party tool used for formal verification.TypeStringDevice SupportThis setting can be used in projec

Page 628 - MAX7000B_VCCIO_IOBANK2

EDA_FV_HIERARCHYDetermines how the hierarchy of design entities is to be processed during compilation. 'BLACKBOX'setting causes the entity t

Page 629 - MAX7000_DEVICE_IO_STANDARD

EDA_GENERATE_FUNCTIONAL_NETLISTGenerate Verilog or VHDL netlist for functional simulation with EDA simulation tools. The SDF Timingfile (.sdo) is not

Page 630

EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPTDirects the EDA Netlist Writer to generate a command script to run gate-level simulation with a third

Page 631 - MAX7000_INDIVIDUAL_TURBO_BIT

EDA_GENERATE_POWER_INPUT_FILEGenerates a Power Input File (.pwf) to perform power analysis in the Quartus II software when usingthird-party simulation

Page 632 - MAX_CLOCKS_ALLOWED

EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPTDirects the EDA Netlist Writer to generate a command script to run RTL functional simulation with athird-par

Page 633

EDA_GENERATE_TIMING_CLOSURE_DATAGenerates back-annotation data for performing in-place optimization with the LeonardoSpectrumsoftware.TypeBooleanDevic

Page 634

EDA_IBIS_MODEL_SELECTOREnable or disable model selector feature for IBIS WriterTypeBooleanDevice SupportThis setting can be used in projects targeting

Page 635

ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIESAllows the Compiler to take shift registers from different hierarchies of the design and put them in th

Page 636

EDA_IBIS_MUTUAL_COUPLINGAllows you to print the per pin RLC package model with mutual coupling when generating IBIS OutputFiles (.ibs) with the EDA Ne

Page 637 - MAX_GLOBAL_CLOCKS_ALLOWED

EDA_IBIS_SPECIFICATION_VERSIONSpecifies the IBIS Specification version.TypeEnumerationValues• 4p1• 4p2• 5p0Device SupportThis setting can be used in p

Page 638 - MAX_PERIPHERY_CLOCKS_ALLOWED

EDA_IPFS_FILESpecifies the library to which IPFS file should be compiledTypeFile nameDevice SupportThis setting can be used in projects targeting any

Page 639 - MAX_REGIONAL_CLOCKS_ALLOWED

EDA_LAUNCH_CMD_LINE_TOOLAllows you to launch third-party EDA tools in the command-line mode rather than opening the graphicaluser interface.TypeBoolea

Page 640

EDA_MAINTAIN_DESIGN_HIERARCHYMaintain the original user design hierarchy when generating Verilog or VHDL simulation netlist for theproject.TypeEnumera

Page 641

EDA_MAP_ILLEGAL_CHARACTERSMaps the vertical bar (|), tilde (~), and colon (:) characters in Quartus II hierarchical node names to thelegal Verilog HDL

Page 642 - MERCURY_CONFIGURATION_SCHEME

EDA_NATIVELINK_GENERATE_SCRIPT_ONLYAllows you to generate the script for a third-party EDA tool without running the EDA tool.TypeBooleanDevice Support

Page 643

EDA_NATIVELINK_PORTABLE_FILE_PATHSSpecifies that the file paths in the generated third-party EDA tool command scripts should be written outusing relat

Page 644 - MERCURY_DEVICE_IO_STANDARD

EDA_NATIVELINK_SIMULATION_SETUP_SCRIPTSpecify the script for EDA Tool. After compiling models, design files and test bench files, Native Link usesthis

Page 645

EDA_NATIVELINK_SIMULATION_TEST_BENCHSpecify the active logical name of the test bench, that will be used to perform NativeLink SimulationTypeStringDev

Page 646

ALLOW_SYNCH_CTRL_USAGEAllows the Compiler to utilize synchronous clear and/or synchronous load signals in normal mode logiccells. Turning on this opti

Page 647 - MIGRATION_DEVICES

EDA_NETLIST_WRITER_OUTPUT_DIRSpecify the output directory for EDA Netlist WriterTypeFile nameDevice SupportThis setting can be used in projects target

Page 648 - NCEO_OPEN_DRAIN

EDA_RESYNTHESIS_TOOLSpecifies the EDA tool used for resynthesis.TypeStringDevice SupportThis setting can be used in projects targeting any Altera devi

Page 649 - NDQS_LOCAL_CLOCK_DELAY_CHAIN

EDA_RTL_SIMULATION_RUN_SCRIPTSpecifies the script file for performing RTL simulation using third-party simulation software.TypeFile nameDevice Support

Page 650 - NORMAL_LCELL_INSERT

EDA_RTL_SIM_MODEEnables the Advanced Options - VHDL or Verilog Simulation options for Test Bench mode orCommand/macro mode.TypeEnumerationValues• COMM

Page 651 - OE_DELAY_CHAIN

EDA_RTL_TEST_BENCH_FILE_NAMESpecifies the RTL simulation test bench file name for Test Bench Mode. File type can be a VHDL TestBench File (.vht), VHDL

Page 652 - OPTIMIZE_FOR_METASTABILITY

EDA_RTL_TEST_BENCH_NAMESpecifies the name of top-level test bench in RTL simulation test bench file.TypeStringDevice SupportThis setting can be used i

Page 653 - OPTIMIZE_HOLD_TIMING

EDA_RTL_TEST_BENCH_RUN_FORSpecifies the time duration for RTL simulation using third-party simulation.TypeTimeDevice SupportThis setting can be used i

Page 654

EDA_SDC_FILE_NAMEName of Design Constraints file to be sourced in scripts generated for third party toolsTypeFile nameDevice SupportThis setting can b

Page 655 - OPTIMIZE_MULTI_CORNER_TIMING

EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLEDDisables setup and hold time violations detection in input registers of bi-directional pin

Page 656 - OPTIMIZE_POWER_DURING_FITTING

EDA_SIMULATION_RUN_SCRIPTSpecifies the script file for running a third-party simulation in Command/macro mode.TypeFile nameDevice SupportThis setting

Page 657 - OPTIMIZE_SSN

ALLOW_XOR_GATE_USAGEAllows the Compiler to use the XOR gate that exists in a macrocell (that is, in an embedded cell within anEmbedded System Block [E

Page 658 - OPTIMIZE_TIMING

EDA_SIMULATION_TOOLSpecifies the third-party EDA tool used for simulation.TypeStringDevice SupportThis setting can be used in projects targeting any A

Page 659 - OUTPUT_BUFFER_DELAY

EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILESpecifies which type of output signals should be written out to the TCL file which can be used in a third

Page 660 - OUTPUT_BUFFER_DELAY_CONTROL

EDA_SIMULATION_VCD_OUTPUT_TCL_FILESpecifies whether or not a TCL file should be written out which can be used in a third-party EDAsimulation tool to g

Page 661 - OUTPUT_DELAY_CHAIN

EDA_SIMULATION_VCD_OUTPUT_TCL_FILE_NAMESpecifies the name the TCL file should be written to which can be used in a third-party EDA simulationtool to g

Page 662 - OUTPUT_ENABLE_DELAY

EDA_TEST_BENCH_DESIGN_INSTANCE_NAMESpecifies the instance name of the design entity in the test bench file.TypeStringDevice SupportThis setting can be

Page 663 - OUTPUT_ENABLE_GROUP

EDA_TEST_BENCH_ENABLE_STATUSEnables the Advanced Options - VHDL or Verilog Simulation options for Test Bench mode orCommand/macro mode.TypeEnumeration

Page 664

EDA_TEST_BENCH_ENTITY_MODULE_NAMESpecifies the top-level design entity in the test bench file.TypeStringDevice SupportThis setting can be used in proj

Page 665 - OUTPUT_ENABLE_ROUTING

EDA_TEST_BENCH_EXTRA_ALTERA_SIM_LIBTells NativeLink to add extra simulation libraries to the specified module. This is required by the memorycontrolle

Page 666 - OUTPUT_PIN_LOAD

EDA_TEST_BENCH_FILEAssociates a test bench file with the logical test bench nameTypeFile nameDevice SupportThis setting can be used in projects target

Page 667 - OUTPUT_TERMINATION

EDA_TEST_BENCH_FILE_NAMESpecifies the test bench file name for Test Bench Mode. File type can be a VHDL Test Bench File (.vht),Verilog HDL Test Bench

Page 668

APEX20K_OPTIMIZATION_TECHNIQUESpecifies the overall optimization goal for Analysis & Synthesis: attempt to maximize performance,minimize logic usa

Page 669 - PAD_TO_CORE_DELAY

EDA_TEST_BENCH_GATE_LEVEL_NETLIST_LIBRARYSpecify the simulation library to which Gate Level Netlist will be compiledTypeStringDevice SupportThis setti

Page 670 - PAD_TO_DDIO_REGISTER_DELAY

EDA_TEST_BENCH_MODULE_NAMEAssociates a test bench file with the logical test bench nameTypeStringDevice SupportThis setting can be used in projects ta

Page 671 - PAD_TO_INPUT_REGISTER_DELAY

EDA_TEST_BENCH_NAMEDefine a logical name for test bench. Each test bench logical name has associated section, containing testbench information, and se

Page 672

EDA_TEST_BENCH_RUN_FORSpecifies the simulation run time for a third-party simulation in Test Bench Mode.TypeTimeDevice SupportThis setting can be used

Page 673

EDA_TEST_BENCH_RUN_SIM_FORSpecify the time interval for running EDA SimulationTypeTimeDevice SupportThis setting can be used in projects targeting any

Page 674

EDA_TIME_SCALESpecifies the time unit used to represent timing delays in each Verilog Output File. The value for the TimeScale option may be between 0

Page 675

EDA_TIMING_ANALYSIS_TOOLSpecifies the EDA third-party tool used for timing analysis.TypeStringDevice SupportThis setting can be used in projects targe

Page 676 - PHYSICAL_SYNTHESIS_EFFORT

EDA_TRUNCATE_LONG_HIERARCHY_PATHSTruncate hierarchical node names to 80 characters.TypeBooleanDevice SupportThis setting can be used in projects targe

Page 677 - PHYSICAL_SYNTHESIS_LOG_FILE

EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORYSpecify the directory where you store the library generated with the EDA Simulation Library Compilertool

Page 678

EDA_VHDL_ARCH_NAMESpecify the name of Architecture in the generated VHDL simulation netlist.TypeStringDevice SupportThis setting can be used in projec

Page 679

BOARD_MODEL_FAR_CSpecifies, in farads, the board trace model far capacitance.TypeStringDevice SupportThis setting can be used in projects targeting an

Page 680

APEX20K_TECHNOLOGY_MAPPERSpecifies whether to target look-up table (LUT) or Product Term when implementing logic in the device.The Technology Mapper o

Page 681 - PLACEMENT_EFFORT_MULTIPLIER

EDA_WAIT_FOR_GUI_TOOL_COMPLETIONSpecifies that NativeLink should wait for the EDA tool GUI launched by it to finish.TypeBooleanDevice SupportThis sett

Page 682 - PLL_AUTO_RESET

EDA_WRITER_DONT_WRITE_TOP_ENTITYDo not write top-level entity in VHDL Output File (.vho).TypeBooleanDevice SupportThis setting can be used in projects

Page 683 - PLL_BANDWIDTH_PRESET

EDA_WRITE_DEVICE_CONTROL_PORTSAdd the devpor, devclrn, and devoe signals in the design as input ports in the top-level design hierarchy inthe Verilog

Page 684 - PLL_CHANNEL_SPACING

EDA_WRITE_NODES_FOR_POWER_ESTIMATIONWrite script for Simulation tool to generate VCD file for outputs for power estimation.TypeEnumerationValues• ALL_

Page 685 - PLL_COMPENSATE

Equivalence Checker AssignmentsEQC_AUTO_BREAK_CONEEnable EQC for auto cone break when compare is abort.TypeBooleanDevice SupportThis setting can be us

Page 686 - PLL_COMPENSATION_MODE

EQC_AUTO_COMP_LOOP_CUTEnable EQC for auto cut comp loop.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device fami

Page 687 - PLL_ENFORCE_USER_PHASE_SHIFT

EQC_AUTO_INVERSIONEnable EQC for auto check inversion level.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device

Page 688 - PLL_FEEDBACK_CLOCK_SIGNAL

EQC_AUTO_PORTSWAPEnable EQC auto swap the port.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.Syntax

Page 689 - PLL_FORCE_OUTPUT_COUNTER

EQC_AUTO_TERMINATEEnable auto terminates when conclusion(not equivalent or undecided) is met.TypeBooleanDevice SupportThis setting can be used in proj

Page 690

EQC_BBOX_MERGEEnable EQC automatic merge black box.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.Sy

Page 691 - PLL_IGNORE_MIGRATION_DEVICES

AUTO_CARRY_CHAINSAllows the Compiler to create carry chains automatically by inserting CARRY_SUM buffers into thedesign. This option is also required

Page 692

EQC_CONSTANT_DFF_DETECTIONEnable EQC automatic constant DFF detectionTypeBooleanDevice SupportThis setting can be used in projects targeting any Alter

Page 693 - PLL_OUTPUT_CLOCK_FREQUENCY

EQC_DETECT_DONT_CARESEnable EQC detect don't cares.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device fami

Page 694 - PLL_PFD_CLOCK_FREQUENCY

EQC_DFF_SS_EMULATIONEnable EQC DFF secondary signal emulation.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera devic

Page 695 - PLL_TYPE

EQC_DUPLICATE_DFF_DETECTIONEnable EQC automatic duplicate DFF detectionTypeBooleanDevice SupportThis setting can be used in projects targeting any Alt

Page 696 - PLL_VCO_CLOCK_FREQUENCY

EQC_LVDS_MERGEEnable EQC automatic merge LVDS.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.Syntax

Page 697 - PRESERVE_PLL_COUNTER_ORDER

EQC_MAC_REGISTER_UNPACKEnable EQC for auto unpack MAC register.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera devi

Page 698

EQC_PARAMETER_CHECKEnable EQC check parameter.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.Syntax

Page 699

EQC_POWER_UP_COMPAREEnable EQC for comparing on the power-up level .TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera

Page 700 - PROGRAMMABLE_PREEMPHASIS

EQC_RAM_REGISTER_UNPACKEnable EQC for auto unpack RAM register.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera devi

Page 701 - PROGRAMMABLE_VOD

EQC_RAM_UNMERGINGEnable EQC automatic unmerge RAM.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.Syn

Page 702 - PR_DONE_OPEN_DRAIN

AUTO_CASCADE_CHAINSAllows the Compiler to create cascade chains automatically by inserting CASCADE buffers into thedesign. The length of the chains is

Page 703 - PR_ERROR_OPEN_DRAIN

EQC_RENAMING_RULESEnable EQC use renaming rules.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.Synta

Page 704 - PR_PINS_OPEN_DRAIN

EQC_RENAMING_RULES_LISTStore eqc renaming rulesTypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.NotesTh

Page 705 - PR_READY_OPEN_DRAIN

EQC_SET_PARTITION_BB_TO_VCC_GNDEnable EQC for set partition Black-box unconnected input to VCC or GND.TypeBooleanDevice SupportThis setting can be use

Page 706 - QDR_D_PIN_GROUP

EQC_SHOW_ALL_MAPPED_POINTSEnable EQC show all mapped points.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device

Page 707 - QII_AUTO_PACKED_REGISTERS

EQC_STRUCTURE_MATCHINGEnable EQC for map using structure matching.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera d

Page 708

EQC_SUB_CONE_REPORTEnable EQC show sub cone report.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.Sy

Page 709 - RESERVE_ALL_UNUSED_PINS

Fitter AssignmentsACTIVE_SERIAL_CLOCKSpecifies the clock source for Fast Active Serial programming.TypeEnumerationValues• CLKUSR• FREQ_100MHz• FREQ_12

Page 710

ADCE_ENABLEDTo disable ADCE on a PMA direct channel for RX PMA. Setting this option to Off will disable ADCE.Setting this option to Auto will leave th

Page 711

ADVANCED_PHYSICAL_OPTIMIZATIONEnable Advanced Physical Optimization to improve the quality of results with more consistent timingclosure.TypeBooleanDe

Page 712

ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFERSpecifies whether the Fitter allows input pins with LVTTL or LVCMOS I/O standards to be place

Page 713

AUTO_CLOCK_ENABLE_RECOGNITIONAllows the Compiler to find logic that feeds a register and move the logic to the register's clock enableinput port.

Page 714

ALM_REGISTER_PACKING_EFFORTThis guides how aggressively the Fitter will pack ALMs when trying to place registers into desired LABlocations. Specifical

Page 715

ALWAYS_ENABLE_INPUT_BUFFERSEnables input buffers on all I/O pins including output pins. This option is required for the SAMPLE/PRELOAD JTAG instructio

Page 716

APEX20KE_DEVICE_IO_STANDARDSpecifies the default I/O standard to be used for pins on the target device.TypeStringDevice SupportThis setting can be use

Page 717

APEX20K_CONFIGURATION_SCHEMEThe method used to load data into the device. Three configuration schemes are available: Passive ParallelAsynchronous (PPA

Page 718

APEX20K_DECREASE_INPUT_DELAY_TO_INTERNAL_CELLSDecreases the propagation delay from an input or bidirectional pin to logic and embedded cells within th

Page 719

APEX20K_DEVICE_IO_STANDARDSpecifies the default I/O standard to be used for pins on the target device.Old NameDEVICE_IO_STANDARDTypeStringDevice Suppo

Page 720

APEXII_CONFIGURATION_SCHEMEThe method used to load data into the device. Three configuration schemes are available: Passive ParallelAsynchronous (PPA)

Page 721

APEXII_DEVICE_IO_STANDARDSpecifies the default I/O standard to be used for pins on the target device.Old NameAPEX20KF_DEVICE_IO_STANDARDTypeStringDevi

Page 722

ASYNC_PIPELINE_DISABLE_DESTINATION_CHECKAllows the Automatic Asynchronous Signal Pipelining algorithm to run on the specified asynchronoussignal even

Page 723

ASYNC_PIPELINE_REG_REACHSpecify the maximum number of LABs that the asynchronous signal sourcing at the To register can goacross before a new pipeline

Page 724

AUTO_DSP_RECOGNITIONAllows the Compiler to find a multiply-accumulate function or a multiply-add function that can bereplaced with the altmult_accum o

Page 725

AUTO_C3_M9K_BIT_SKIPDirects the fitter to skip certain bitlines in Cyclone III (including LS) M9K blocks that may be susceptibleto read bit error when

Page 726

AUTO_DELAY_CHAINSAllows the Fitter to choose the optimal delay chain to meet tsu and tco timing requirements for all I/Oelements. Turning on this opti

Page 727 - ROUTER_EFFORT_MULTIPLIER

AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINSAllows the Fitter to choose how to optimize the delay chains for high fanout input pins. You must enableth

Page 728

AUTO_GLOBAL_CLOCKAllows the Compiler to choose the signal that feeds the most clock inputs to flipflops as a global clocksignal that is made available

Page 729 - ROUTER_REGISTER_DUPLICATION

AUTO_GLOBAL_MEMORY_CONTROLSAllows the Compiler to choose the signals that feed the most write enable and read enable inputs tomemories as global write

Page 730

AUTO_GLOBAL_OEAllows the Compiler to choose the signal that feeds the most TRI buffers as a global output enable signalthat is made available througho

Page 731 - ROW_GLOBAL_SIGNAL

AUTO_GLOBAL_REGISTER_CONTROLSAllows the Compiler to choose the signals that feed the most control signal inputs to flipflops (excludingclock signals)

Page 732 - RZQ_GROUP

AUTO_MERGE_PLLSAllows the Compiler to automatically find and merge together two compatible phase-locked loops (PLL)driven by the same clock source, re

Page 733

AUTO_PACKED_REGISTERS_MAXAllows the Compiler to automatically implement a register and a combinational function in the samelogic cell. This option con

Page 734

Default ValueAutoMNL-Q210052015.05.04AUTO_PACKED_REGISTERS_MAX449Quartus Settings File Reference ManualAltera CorporationSend Feedback

Page 735

AUTO_ENABLE_SMART_COMPILESpecifies whether the SignalTap II Logic Analyzer should perform a smart compilation if conditions existin which SignalTap II

Page 736 - SLEW_RATE

AUTO_TURBO_BITControls the speed vs. power usage trade-off for a macrocell. If the Turbo Bit is on, the macrocell's speedincreases; if it is off,

Page 737 - SLOW_SLEW_RATE

BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICEDirects the Compiler to base the Pin-Out File (.pin) and floorplan package views on the largest selectedSameFrame

Page 738

BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIESControls whether RAMs implemented in MLAB cells must have equivalent pause read capabilities asR

Page 739

BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONSControls whether RAMs implemented in MLAB cells must have equivalent power up conditions as RAMsimple

Page 740

BLOCK_RAM_TO_MLAB_CELL_CONVERSIONControls whether the fitter is able to convert RAMs to use LAB locations when those RAMs use 'Auto' asthe s

Page 741

C3_M9K_BIT_SKIPDirects the fitter to skip certain bitlines in Cyclone III M9K blocks when implementing the specificedRAM or ROM cell. The default rema

Page 742

CARRY_OUT_PINS_LCELL_INSERTDirects the Fitter to enable or disable logic cell insertion when the I/Os are fed by carry or cascade chains.When this opt

Page 743

CDR_BANDWIDTH_PRESETSpecifies the CDR (clock data recovery) bandwidth preset setting.TypeEnumerationValues• Auto• High• Low• MediumDevice SupportThis

Page 744

CKN_CK_PAIRSpecifies the pairing of a CKn pin to a CK pin. The I/O pin of a CK CKn pair must be placed on adifferential pin pair. This option is ignor

Page 745

CLAMPING_DIODETurns on the Clamping Diode of a pin. The clamping diode can be turned on to limit overshoot voltagefor a pin in input operation. The cl

Page 746

AUTO_GLOBAL_CLOCK_MAXAllows the Compiler to choose the signal that feeds the most clock inputs to flipflops as a global clocksignal that is made avail

Page 747 - _DATA_WIDTH_MODE

CLOCK_ENABLE_ROUTINGSpecifies whether a clock enable signal in an I/O cell should be driven by the peripheral bus or the single-pin path. The Single-P

Page 748

CLOCK_REGIONSpecifies that a signal routed using global routing paths should use the specified clock region. Valid valuesare in the form \"Region

Page 749

CLOCK_TO_OUTPUT_DELAYSpecifies the propagation delay to the output or bidirectional pin from the output register implemented inan I/O cell. This is an

Page 750

CONFIGURATION_VCCIO_LEVELSpecifies the VCCIO voltage of the configuration pins for the current configuration scheme on the targetdevice.TypeStringDevi

Page 751

CRC_ERROR_CHECKINGSpecifies error detection CRC usage for the selected device. If error detection CRC is turned on, the devicechecks the validity of t

Page 752 - STRATIXGX_TERMINATION_VALUE

CRC_ERROR_OPEN_DRAINSpecify open drain on the CRC Error pin should be enabled or notTypeBooleanDevice SupportThis setting can be used in projects targ

Page 753 - STRATIXIIGX_TERMINATION_VALUE

CURRENT_STRENGTH_NEWSets the drive strength of a pin. Specify a number (in mA), MIN, or MAX for output or bidirectional pinsthat support programmable

Page 754

CVP_CONFDONE_OPEN_DRAINSpecify open drain on the CvP_CONFDONE pin should be enabled or notOld NameCVPCIE_CONFDONE_OPEN_DRAINTypeBooleanDevice SupportT

Page 755 - STRATIXIII_MRAM_COMPATIBILITY

CVP_MODESpecifies the configuration mode for Configuration via Protocol (CvP).Old NameCVPCIE_MODETypeEnumerationValues• Core initialization• Core init

Page 756 - STRATIXIII_UPDATE_MODE

CYCLONEIII_CONFIGURATION_SCHEMEThe method used to load data into the device. Up to four configuration schemes are available, dependingon the selected

Page 757

AUTO_GLOBAL_OE_MAXAllows the Compiler to choose the signal that feeds the most TRI buffers as a global output enable signalthat is made available thro

Page 758 - STRATIXII_TERMINATION

CYCLONEII_CONFIGURATION_SCHEMEThe method used to load data into the device. Two configuration schemes are available: Passive Serial(PS); and Active Se

Page 759 - STRATIXV_CONFIGURATION_SCHEME

CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATIONSpecifies how the nCEO pin should be used when the device is operating in user mode after configurationis co

Page 760 - STRATIX_CONFIGURATION_SCHEME

CYCLONEII_TERMINATIONAllows the Compiler to configure the on-chip termination (OCT) and impedance matching for an I/Opin. OCT helps to prevent signal

Page 761

CYCLONE_CONFIGURATION_SCHEMEThe method used to load data into the device. Two configuration schemes are available: Passive Serial(PS); and Active Seri

Page 762 - STRATIX_DEVICE_IO_STANDARD

D1_DELAYSpecifies the propagation delay for D1 Delay Cell. This is an advanced option that should be used onlyafter you have compiled a project, check

Page 763 - STRATIX_UPDATE_MODE

D1_FINE_DELAYEnable the fine delay resolution on D1 DelayOld NameT1_FINE_DELAYTypeBooleanDevice SupportThis setting can be used in projects targeting

Page 764 - SYNCHRONIZER_IDENTIFICATION

D2_DELAYSpecifies the propagation delay for D2 Delay Cell. This is an advanced option that should be used onlyafter you have compiled a project, check

Page 765

D3_DELAYSpecifies the propagation delay for D3 Delay Cell. This is an advanced option that should be used onlyafter you have compiled a project, check

Page 766 - SYNCHRONIZER_TOGGLE_RATE

D4_DELAYSpecifies the propagation delay for D4 Delay Cell. This is an advanced option that should be used onlyafter you have compiled a project, check

Page 767 - T11_0_DELAY

D4_FINE_DELAYEnable the fine delay resolution on D4 DelayOld NameT7_FINE_DELAYTypeBooleanDevice SupportThis setting can be used in projects targeting

Page 768 - T11_1_DELAY

AUTO_IMPLEMENT_IN_ROMAllows the Compiler to automatically implement combinatorial logic in ROM (that is, in an embeddedcell within an Embedded System

Page 769 - T11_DELAY

D5_DELAYSpecifies the propagation delay for D5 Delay Cell. This is an advanced option that should be used onlyafter you have compiled a project, check

Page 770 - T11_FINE_DELAY

D5_FINE_DELAYEnable the fine delay resolution on D5 DelayOld NameT9_FINE_DELAYTypeBooleanDevice SupportThis setting can be used in projects targeting

Page 771 - T4_DELAY

D5_OCT_DELAYSpecifies the propagation delay for D5 OCT Delay Cell. This is an advanced option that should be usedonly after you have compiled a projec

Page 772 - T8_DELAY0

D5_OE_DELAYSpecifies the propagation delay for D5 Output-Enable Delay Cell. Use this advanced option only after youhave compiled a project, checked th

Page 773 - T8_DELAY1

D6_DELAYSpecifies the propagation delay for D6 Delay Cell. This is an advanced option that should be used onlyafter you have compiled a project, check

Page 774 - TERMINATION

D6_FINE_DELAYEnable the fine delay resolution on D6 DelayOld NameT10_FINE_DELAYTypeBooleanDevice SupportThis setting can be used in projects targeting

Page 775 - TERMINATION_CONTROL_BLOCK

D6_OCT_DELAYSpecifies the propagation delay for D6 OCT Delay Cell. This is an advanced option that should be usedonly after you have compiled a projec

Page 776 - TREAT_BIDIR_AS_OUTPUT

D6_OE_DELAYSpecifies the propagation delay for D6 Output-Enable Delay Cell. This is an advanced option that shouldbe used only after you have compiled

Page 777 - TRI_STATE_SPI_PINS

D6_OE_FINE_DELAYEnable the fine delay resolution on D6 Output-Enable DelayOld NameT10_OE_FINE_DELAYTypeBooleanDevice SupportThis setting can be used i

Page 778 - TURBO_BIT

DATA0_PINSpecifies the Data[0] configuration pin.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.Note

Page 779 - TXPMA_SLEW_RATE

AUTO_LCELL_INSERTIONAllows the Compiler to insert macrocells into the design. This option is ignored if it is assigned toanything other than a design

Page 780 - UNFORCE_MERGE_PLL

DCLK_PINSpecifies the DCLK configuration pin.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThi

Page 781

DC_CURRENT_FOR_ELECTROMIGRATION_CHECKSpecifies the maximum amount of DC current, in mA, allowed when the Fitter checks forelectromigration violations.

Page 782 - UNUSED_TSD_PINS_GND

DDIO_INPUT_REGISTERDirects the Compiler to perform special placement and routing of the specified register to prevent registerpacking of the input reg

Page 783 - USER_START_UP_CLOCK

DDIO_OUTPUT_REGISTERDirects the Compiler to perform special placement and routing of the specified register to provide aglitch-free output. This is us

Page 784 - VCCIO_CURRENT_1PT8V

DDIO_OUTPUT_REGISTER_DISTANCEDirects the Fitter to place the DDIO output registers (and output mux) that feed this I/O pin in a locationwhose LAB dist

Page 785 - VCCIO_CURRENT_2PT5V

DECREASE_INPUT_DELAY_TO_INPUT_REGISTERDecreases the propagation delay from an input pin to the data input of the input register implemented inthe I/O

Page 786 - VCCIO_CURRENT_GTL

DECREASE_INPUT_DELAY_TO_OUTPUT_REGISTERDecreases the propagation delay from the interior of the device to the data input of the output registerimpleme

Page 787 - VCCIO_CURRENT_GTL_PLUS

DELAY_SETTING_FROM_VIO_TO_COREIncreases the propagation delay from a vertical pin to the interior of the device when the vertical pin isusing the Fast

Page 788 - VCCIO_CURRENT_LVCMOS

DEVICESpecifies the device to use.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThe value of th

Page 789 - VCCIO_CURRENT_LVTTL

DEVICE_INITIALIZATION_CLOCKSpecifies the clock source for device initialization (the duration between CONF_DONE signal went highand before INIT_DONE s

Page 790 - VCCIO_CURRENT_PCI

BOARD_MODEL_FAR_DIFFERENTIAL_RSpecifies, in ohms, the board trace model far differential resistance.TypeStringDevice SupportThis setting can be used i

Page 791 - VCCIO_CURRENT_SSTL2_CLASS1

AUTO_OPEN_DRAIN_PINSAllows the Compiler to automatically convert a tri-state buffer with a strong low data input into theequivalent open-drain buffer.

Page 792 - VCCIO_CURRENT_SSTL2_CLASS2

DEVICE_MIGRATION_LISTShows the selected migration devices for the current device.TypeStringDevice SupportThis setting can be used in projects targetin

Page 793 - VCCIO_CURRENT_SSTL3_CLASS1

DEVICE_TECHNOLOGY_MIGRATION_LISTShows the selected technology migration devices for the current device.TypeStringDevice SupportThis setting can be use

Page 794 - VCCIO_CURRENT_SSTL3_CLASS2

DM_PINSpecifies the DM pin. The DM pin of a DQS group must be placed in the DM pin location of the DQSgroup. This option is ignored if is assigned to

Page 795 - VCCPD_VOLTAGE

DPRIO_CHANNEL_NUMRX/TX channel number for DPRIO logicTypeIntegerDevice SupportThis setting can be used in projects targeting any Altera device family.

Page 796 - VREF_MODE

DPRIO_CRUCLK_NUMLogical RX CRU clock numberTypeIntegerDevice SupportThis setting can be used in projects targeting any Altera device family.NotesNoneS

Page 797 - WEAK_PULL_UP_RESISTOR

DPRIO_INTERFACE_REGInterface input/output register of DPRIO logicTypeBooleanDevice SupportThis setting can be used in projects targeting any Altera de

Page 798 - XCVR_A10_REFCLK_TERM_TRISTATE

DPRIO_QUAD_NUMRX/TX quad number for DPRIO logicTypeIntegerDevice SupportThis setting can be used in projects targeting any Altera device family.NotesN

Page 799 - Send Feedback

DPRIO_QUAD_PLL_NUMLogical CMU PLL number in a quadTypeIntegerDevice SupportThis setting can be used in projects targeting any Altera device family.Not

Page 800

DPRIO_TX_PLL0_REFCLK_NUMLogical TX PLL0 Refclk numberTypeIntegerDevice SupportThis setting can be used in projects targeting any Altera device family.

Page 801

DPRIO_TX_PLL1_REFCLK_NUMLogical TX PLL1 Refclk numberTypeIntegerDevice SupportThis setting can be used in projects targeting any Altera device family.

Page 802 - XCVR_A10_RX_ADP_DFE_FXTAP1

AUTO_PARALLEL_EXPANDERSAllows the Compiler to automatically create chains of parallel expander product terms. Parallel expandersare available in macro

Page 803

DPRIO_TX_PLL_NUMLogical TX PLL numberTypeIntegerDevice SupportThis setting can be used in projects targeting any Altera device family.NotesNoneSyntax

Page 804

DQSB_DQS_PAIRSpecifies the pairing of a DQSn pin to a DQS pin. The I/O pin of a DQS must be placed in the DQS pinlocation of a DQS group; the I/O pin

Page 805

DQSOUT_DELAY_CHAINSet the propagation delay on the DQSBUS signal from the DQS pin. This is an advanced option thatshould be used only after you have c

Page 806 - XCVR_A10_RX_ADP_DFE_FXTAP2

DQS_ENABLE_DELAY_CHAINSet the propagation delay on the DQS enable signal for the DQS pin. This is an advanced option thatshould be used only after you

Page 807

DQS_LOCAL_CLOCK_DELAY_CHAINSet the propagation delay on the DQS signal to the input register of the target pin. This is an advancedoption that should

Page 808

DQ_GROUPSpecifies the grouping from a DQS pin to its associated DQ pins and the width (4, 9, 18, or 36) of thegroup. Setting this option allows the Fi

Page 809

DQ_PINDesignates the specified pin as a DQ I/O pin.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.No

Page 810 - XCVR_A10_RX_ADP_DFE_FXTAP3

DUAL_PURPOSE_CLOCK_PIN_DELAYSpecifies the propagation delay from a dual-purpose clock pin to its fan-out destinations that are routedon the global clo

Page 811

DUPLICATE_ATOMDirects the Compiler to duplicate the source node, and uses the new duplicate node to fan out to thedestination node; the original sourc

Page 812

DYNAMIC_OCT_CONTROL_GROUPAssigns a dynamic termination control group number for the specified node. Turning on this optiondirects the Fitter to view t

Page 813

AUTO_PARALLEL_SYNTHESISOption to enable/disable automatic parallel synthesis. This option can be used to speed up synthesiscompile time by using multi

Page 814 - XCVR_A10_RX_ADP_DFE_FXTAP4

ECO_ALLOW_ROUTING_CHANGESThis option controls whether the Fitter will move items in a design to ensure that new ECO signals getrouted.TypeBooleanDevic

Page 815

ECO_OPTIMIZE_TIMINGControls whether the fitter optimizes to meet the user's maximum delay timing requirements (eg. clockcycle time, Tsu, Tco) dur

Page 816 - XCVR_A10_RX_ADP_DFE_FXTAP5

ECO_REGENERATE_REPORTControls whether the fitter report is regenerated during ECO compiles. By default, this option is set to off.Turning it on will r

Page 817

ENABLE_ASMI_FOR_FLASH_LOADEREnables ASMI interface for Flash Loader even before condone goes high.TypeBooleanDevice SupportThis setting can be used in

Page 818 - XCVR_A10_RX_ADP_DFE_FXTAP6

ENABLE_BENEFICIAL_SKEW_OPTIMIZATIONAllows the fitter to insert skew on globally routed clock signals to improve the performance of the design.TypeBool

Page 819

ENABLE_BOOT_SEL_PINEnables CONFIG_SEL pin in user mode. If this option is turned off, the CONFIG_SEL pin are disabledwhen the device operates in user

Page 820 - XCVR_A10_RX_ADP_DFE_FXTAP7

ENABLE_BUS_HOLD_CIRCUITRYEnables bus-hold circuitry during device operation. If this option is turned on, a pin will retain its lastlogic level when i

Page 821

ENABLE_CONFIGURATION_PINSEnables major configuration pins, nCONFIG, nSTATUS, and CONF_DONE pin in user mode. If thisoption is turned off, the nCONFIG,

Page 822 - XCVR_A10_RX_ADP_VGA_SEL

ENABLE_CRC_ERROR_PINSpecifies error detection CRC and CRC_ERROR pin usage for the selected device. If error detection CRCis turned on, the device chec

Page 823 - XCVR_A10_RX_EQ_DC_GAIN_TRIM

ENABLE_CVP_CONFDONEEnable the CvP_CONFDONE pin, which indicates that the device finished core programming inConfiguration via Protocol mode. If this o

Page 824

AUTO_RAM_BLOCK_BALANCINGEnables the Compiler to automatically use different memory types when using auto RAM blocks andallows the Compiler to use diff

Page 825 - XCVR_A10_RX_LINK

ENABLE_DEVICE_WIDE_OEEnables the DEV_OE pin when the device is in user mode. If this option is turned on, all outputs on thechip operate normally. Whe

Page 826 - XCVR_A10_RX_ONE_STAGE_ENABLE

ENABLE_DEVICE_WIDE_RESETEnables the DEV_CLRn pin, which allows all registers of the device to be reset by an external source. Ifthis option is turned

Page 827 - XCVR_A10_RX_TERM_SEL

ENABLE_HOLD_BACK_OFFEnables the Fitter to successfully fit a design despite infeasible hold constraints.TypeEnumerationValues• Off• OnDevice SupportTh

Page 828 - XCVR_A10_TX_COMPENSATION_EN

ENABLE_INIT_DONE_OUTPUTEnables the INIT_DONE pin, which allows you to externally monitor when initialization is completedand the device is in user mod

Page 829 - XCVR_A10_TX_LINK

ENABLE_JTAG_BST_SUPPORTEnables JTAG boundary-scan test (BST) support.TypeBooleanDevice SupportThis setting can be used in projects targeting any Alter

Page 830

ENABLE_JTAG_PIN_SHARINGEnables JTAG pins sharing feature. JTAGEN pin is enabled and become dedicated input pin in usermode. JTAG pins (TDO, TCK, TDI,

Page 831

ENABLE_NCEO_OUTPUTEnables the nCEO pin. This pin should be connected to the nCE of the succeeding device when multipledevices are being programmed. If

Page 832

ENABLE_NCE_PINEnables nCE pin in user mode. If this option is turned off, the nCE pin are disabled when the deviceoperates in user mode and is availab

Page 833

ENABLE_NCONFIG_FROM_COREEnables the nCONFIG signal from the core. If this option is turned on, you can send the nCONFIG signalfrom the core or the pac

Page 834

ENABLE_PR_PINSAllows you to enable the PR_REQUEST, PR_READY, PR_ERROR, PR_DONE, DCLK, and DATA[15..0]pins. These pins are needed to support partial re

Page 835

AUTO_RAM_RECOGNITIONAllows the Compiler to find a set of registers and logic that can be replaced with the altsyncram or thelpm_ram_dp megafunction. T

Page 836

ENABLE_VREFA_PINEnable the circuitry for input voltage reference pins A.Old NameEnable VREFA pinTypeBooleanDevice SupportThis setting can be used in p

Page 837

ENABLE_VREFB_PINEnable the circuitry for input voltage reference pins B.Old NameEnable VREFB pinTypeBooleanDevice SupportThis setting can be used in p

Page 838

ERROR_CHECK_FREQUENCY_DIVISORSpecifies the divide value of the internal clock, which determines the frequency of the CRC. The dividevalue must be a po

Page 839 - XCVR_ANALOG_SETTINGS_PROTOCOL

EXCLUSIVE_IO_GROUPAssigns an exclusive group number for the specified I/O. I/Os with the different exclusive group numbercannot share the same bank.Ty

Page 840

EXTERNAL_FLASH_FALLBACK_ADDRESSSpecifies the fallback image location address in EPCQ configuration device when external fallback isenabled.TypeStringD

Page 841

EXTERNAL_LVDS_RX_USES_DPAIndicates that this LVDS Transmitter pin is connected to an external LVDS Receiver that uses DPA.TypeBooleanDevice SupportThi

Page 842 - XCVR_GT_IO_PIN_TERMINATION

FALLBACK_TO_EXTERNAL_FLASHDuring power up, if the default internal configuration image is corrupted, the device will look for primaryfallback image in

Page 843

FASTROW_INTERCONNECTUses FastRow interconnect to route the fan-outs of an input or bidirectional pin. Both the pin and its fan-out(s) must also be ass

Page 844 - XCVR_GT_RX_CTLE

FINAL_PLACEMENT_OPTIMIZATIONSpecifies whether the Fitter performs final placement optimizations. Performing final placementoptimizations may improve t

Page 845 - XCVR_GT_RX_DC_GAIN

FITTER_ADJUST_HC_SHORT_PATH_GUARDBANDAllows timing analysis to add extra short path guardband on a specific node during fitting.TypeIntegerDevice Supp

Page 846

AUTO_RAM_TO_LCELL_CONVERSIONAllows the Compiler to convert small RAM blocks into logic cells.TypeBooleanDevice SupportThis setting can be used in proj

Page 847

FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATIONSpecifies whether the Fitter aggressively optimizes for routability. Performing aggressive routabilityoptimi

Page 848

FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGINSpecifies the amount of worst-case slack margin the fitter should try to maintain when the Fitter Effortoption

Page 849 - XCVR_GT_TX_PRE_EMP_PRE_TAP

FITTER_EARLY_TIMING_ESTIMATE_MODEControls the type of early timing estimate produced by the Early Timing Estimate feature. Realistic willestimate the

Page 850 - XCVR_GT_TX_VOD_MAIN_TAP

FITTER_EFFORTControls the fitter's trade-off between performance and compilation speed. Auto Fit adjusts the fitteroptimization effort to minimiz

Page 851 - XCVR_IO_PIN_TERMINATION

FIT_ATTEMPTS_TO_SKIPControls how many fit attempts the Fitter skips. In subsequent fit attempts, the Fitter uses higher effort toimprove design routab

Page 852 - XCVR_RECONFIG_GROUP

FIT_ONLY_ONE_ATTEMPTControls how many fitting attempts the fitter tries to get a fit. When this option is off (default), the fittertries a maximum of

Page 853 - XCVR_REFCLK_PIN_TERMINATION

FLEX10K_CONFIGURATION_SCHEMEThe method used to load data into the device. Three configuration schemes are available: Passive ParallelAsynchronous (PPA

Page 854 - XCVR_RX_ACGAIN_A

FLEX10K_DECREASE_INPUT_DELAY_TO_INTERNAL_CELLSDecreases the propagation delay from an input or bidirectional pin to logic and embedded cells within th

Page 855 - XCVR_RX_ACGAIN_V

FLEX10K_DEVICE_IO_STANDARDSpecifies the default I/O standard to be used for pins on the target device.TypeStringDevice SupportThis setting can be used

Page 856 - XCVR_RX_BYPASS_EQ_STAGES_234

FLEX10K_ENABLE_LOCK_OUTPUTEnables the lock output, which is available in devices with ClockLock phase-locked loop circuitry. Thelock output monitors w

Page 857 - XCVR_RX_COMMON_MODE_VOLTAGE

AUTO_RESOURCE_SHARINGAllows the Compiler to share hardware resources among many similar, but mutually exclusive, operationsin your HDL source code. If

Page 858 - XCVR_RX_DC_GAIN

FLEX10K_MAX_PERIPHERAL_OESets the limit on the number of peripheral OE buses that can be used.TypeIntegerDevice SupportThis setting can be used in pro

Page 859

FLEX6K_CONFIGURATION_SCHEMEThe method used to load data into the device. Two configuration schemes are available: Passive Serial(PS) and Passive Seria

Page 860 - XCVR_RX_EQ_BW_SEL

FLEX6K_DECREASE_INPUT_DELAY_TO_INTERNAL_CELLSDecreases the propagation delay from an input or bidirectional pin to logic and embedded cells within the

Page 861 - XCVR_RX_INPUT_VCM_SEL

FLEX6K_DEVICE_IO_STANDARDSpecifies the default I/O standard to be used for pins on the target device.TypeStringDevice SupportThis setting can be used

Page 862

FORCE_CONFIGURATION_VCCIOForces the VCCIO voltage of the configuration pins to be the same as the configuration device I/Ovoltage.TypeBooleanDevice Su

Page 863 - XCVR_RX_SD_ENABLE

FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGSDirects the Fitter to treat periphery placement warnings as errors. As a result, the Fitter attempts

Page 864 - XCVR_RX_SD_OFF

FORCE_FRACTURED_MODE_ALM_IMPLEMENTATIONDirects the fitter to implement the specified node using the fractured mode of the ALM. This assignmentwill onl

Page 865 - XCVR_RX_SD_ON

FORCE_MERGE_PLLForces the slave PLL to be merged with the master PLL. This option should be used only for twocompatible PLLs driven by the same clock

Page 866 - XCVR_RX_SD_THRESHOLD

FORCE_MERGE_PLL_FANOUTSForces the fanouts of the slave PLL clock output to be merged into the master PLL clock output. Thisoption should be used only

Page 867 - XCVR_RX_SEL_HALF_BW

FORCE_NON_FRACTURED_MODE_ALM_IMPLEMENTATIONDirects the fitter to implement the specified node using the non-fractured mode of the ALM. Thisassignment

Page 868 - XCVR_TX_COMMON_MODE_VOLTAGE

AUTO_ROM_RECOGNITIONAllows the Compiler to find logic that can be replaced with the altsyncram or the lpm_rom megafunction.Turning on this option may

Page 869 - XCVR_TX_PLL_RECONFIG_GROUP

FORM_DDR_CLUSTERING_CLIQUEAllows the Fitter to form cluster cliques on a specific DDR logic structure, which may lead to betterplacement result and im

Page 870 - XCVR_TX_PRE_EMP_1ST_POST_TAP

GENERATE_GXB_RECONFIG_MIFGenerates a GXB reconfig MIF file for each used GXB Transmitter and Receiver channel pair (Stratix IIGX and Arria GX) or each

Page 871 - XCVR_TX_PRE_EMP_2ND_POST_TAP

GENERATE_GXB_RECONFIG_MIF_WITH_PLLGenerates a GXB reconfig MIF file with PLL data for each used GXB Transmitter and Receiver channelpair. Reprogrammin

Page 872

GLOBAL_SIGNALSpecifies whether the signal should be routed using global routing paths. Global signals can be both pin-and logic-driven, and can be any

Page 873 - XCVR_TX_PRE_EMP_INV_2ND_TAP

GLOBAL_SIGNAL_CLKCTRL_LOCATIONSpecifies the CLKCTRL that the signal should be routed using global routing paths. The value to use is thesame as that u

Page 874 - XCVR_TX_PRE_EMP_INV_PRE_TAP

GNDIO_CURRENT_1PT8VFor user to override GNDIO current of 1.8-V io standard. Original current is 2mATypeIntegerDevice SupportThis setting can be used i

Page 875 - XCVR_TX_PRE_EMP_PRE_TAP

GNDIO_CURRENT_2PT5VFor user to override GNDIO current of 2.5-V io standard. Original current is 2mATypeIntegerDevice SupportThis setting can be used i

Page 876 - XCVR_TX_PRE_EMP_PRE_TAP_USER

GNDIO_CURRENT_GTLFor user to override GNDIO current of GTL. Not yet supported in MAX7000.TypeIntegerDevice SupportThis setting can be used in projects

Page 877 - XCVR_TX_RX_DET_ENABLE

GNDIO_CURRENT_GTL_PLUSFor user to override GNDIO current of GTL+. Original current is 50mATypeIntegerDevice SupportThis setting can be used in project

Page 878 - XCVR_TX_RX_DET_MODE

GNDIO_CURRENT_LVCMOSFor user to override GNDIO current of LVCMOS. Original current is 2mATypeIntegerDevice SupportThis setting can be used in projects

Page 879 - XCVR_TX_RX_DET_OUTPUT_SEL

AUTO_SHIFT_REGISTER_RECOGNITIONAllows the Compiler to find a group of shift registers of the same length that can be replaced with thealtshift_taps me

Page 880 - XCVR_TX_SLEW_RATE_CTRL

GNDIO_CURRENT_LVTTLFor user to override GNDIO current of LVTTL. Original current is 4mATypeIntegerDevice SupportThis setting can be used in projects t

Page 881 - XCVR_TX_VCM_CTRL_SRC

GNDIO_CURRENT_PCIFor user to override GNDIO current of PCI. Original current is 4mATypeIntegerDevice SupportThis setting can be used in projects targe

Page 882 - XCVR_TX_VOD

GNDIO_CURRENT_SSTL2_CLASS1For user to override GNDIO current of SSTL2_CLASS1. Original current is 14mATypeIntegerDevice SupportThis setting can be use

Page 883 - XCVR_TX_VOD_PRE_EMP_CTRL_SRC

GNDIO_CURRENT_SSTL2_CLASS2For user to override GNDIO current of SSTL2_CLASS2. Original current is 21mATypeIntegerDevice SupportThis setting can be use

Page 884 - XCVR_VCCA_VOLTAGE

GNDIO_CURRENT_SSTL3_CLASS1For user to override GNDIO current of SSTL3_CLASS1. Original current is 18mATypeIntegerDevice SupportThis setting can be use

Page 885 - XCVR_VCCR_VCCT_VOLTAGE

GNDIO_CURRENT_SSTL3_CLASS2For user to override GNDIO current of SSTL3_CLASS2. Original current is 25mATypeIntegerDevice SupportThis setting can be use

Page 886 - XSTL_INPUT_ALLOW_SE_BUFFER

GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIMEControls whether the fitter tries to achieve a zero hold time for I/O pins that feed globally clockedregis

Page 887

GXB_0PPM_CLOCK_GROUPSpecifies a group of GXB core clocks that have zero(0) PPM difference. The clock driver source specifiedin the GXB 0 PPM clock gro

Page 888 - ALLOW_MULTIPLE_PERSONAS

GXB_0PPM_CLOCK_GROUP_DRIVERSpecifies core clocks that have zero PPM difference. Follow the Altera High Speed I/O ApplicationsTechnical Support recomme

Page 889

GXB_0PPM_CORECLKSpecifies core clocks that have zero PPM difference. Follow the Altera High Speed I/O ApplicationsTechnical Support recommendations wh

Page 890 - CROSS_BOUNDARY_OPTIMIZATIONS

BLOCK_DESIGN_NAMINGSpecify the naming scheme used for the block design. This option is ignored if it is assigned to anythingother than a design entity

Page 891

GXB_0PPM_CORE_CLOCKSpecifies two GXB core clocks that have zero (0) PPM difference. The core clock driver for the assignmentsource GXB must have a dif

Page 892 - ENABLE_STRICT_PRESERVATION

GXB_CLOCK_GROUPSpecifies GXB core clock groups to be merged after compilation. All specified GXB transmitters in theGXB shared clock group are driven

Page 893 - EXTENDS_TOP_BLOCK

GXB_CLOCK_GROUP_DRIVERSpecifies the GXB core clock driver that drives all core clocks in a GXB shared clock group aftercompilation. All GXB transmitte

Page 894 - IGNORE_PARTITIONS

GXB_RECONFIG_GROUPSpecifies whether GXB transceiver channels with Dynamic Reconfiguration can be placed in the samephysical channel. GXB receivers and

Page 895 - IMPORT_BLOCK

GXB_RECONFIG_MIFSpecifies the MIF file name to store the GXB reconfig channel data for the entire GXB Receiver orTransmitter channel. This setting can

Page 896

GXB_RECONFIG_MIF_PLLIncludes PLL info in the GXB reconfig channel data.TypeBooleanDevice SupportThis setting can be used in projects targeting any Alt

Page 897

GXB_REFCLK_COUPLING_TERMINATION_SETTINGAllows the Compiler to configure the AC/DC coupling and on-chip termination (OCT) for a Stratix II GXgigabit tr

Page 898

GXB_RESERVED_TRANSMIT_CHANNELSpecifies that a transmitter channel is a reserved transmit channel.TypeBooleanDevice SupportThis setting can be used in

Page 899

GXB_TX_PLL_RECONFIG_GROUPSpecifies whether GXB transceiver channels with Dynamic TX PLL Reconfiguration can be placed in thesame physical GXB Quad. If

Page 900

HPS_IOFlags an I/O in the user netlist as one that is intended to be owned by a HPS block.TypeBooleanDevice SupportThis setting can be used in project

Page 901

BOARD_MODEL_FAR_PULLDOWN_RSpecifies, in ohms, the board trace model far pull-down resistance.TypeStringDevice SupportThis setting can be used in proje

Page 902 - INPUT_PERSONA

CARRY_CHAIN_LENGTHSpecifies the maximum allowable length of a chain of both user-entered and Compiler-synthesizedCARRY_SUM buffers. Carry chains that

Page 903 - INSERT_BOUNDARY_WIRE_LUTS

IGNORE_MODE_FOR_MERGEIgnores the mode of the PLL when the Fitter attempts to merge PLLs, therefore allowing PLLs withdifferent modes to be merged.Type

Page 904 - MERGE_EQUIVALENT_BIDIRS

IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODEAllows you to specify the MLAB memory blocks implementation mode. MLAB memory blocks areimplemented in 32-bit deep m

Page 905 - MERGE_EQUIVALENT_INPUTS

INCREASE_DELAY_TO_OUTPUT_ENABLE_PINIncreases the propagation delay to the output enable pin from internal logic or the output enable registerimplement

Page 906

INCREASE_DELAY_TO_OUTPUT_PINIncreases the propagation delay to the output or bidirectional pin from the output register implementedin an I/O cell. Thi

Page 907

INCREASE_INPUT_CLOCK_ENABLE_DELAYIncreases the propagation delay from the interior of the device to the clock enable input of an outputregister. This

Page 908 - PARTITION_ASD_REGION_ID

INCREASE_INPUT_DELAY_TO_CE_IO_REGISTERIncreases the propagation delay from the interior of the device to the clock enable input of an I/O register.Thi

Page 909

INCREASE_OUTPUT_CLOCK_ENABLE_DELAYIncreases the propagation delay from the interior of the device to the clock enable input of an outputregister. This

Page 910

INCREASE_OUTPUT_ENABLE_CLOCK_ENABLE_DELAYIncreases the propagation delay from the interior of the device to the clock enable input of an outputenable

Page 911 - PARTITION_HIERARCHY

INCREASE_TZX_DELAY_TO_OUTPUT_PINSupports zero bus-turnaround (ZBT) by increasing the propagation delay of the falling edge of the outputenable signal.

Page 912

INC_PLC_MODEDirects the Quartus II software to run in Incremental Placement Mode.TypeBooleanDevice SupportThis setting can be used in projects targeti

Page 913 - PARTITION_IMPORT_ASSIGNMENTS

CASCADE_CHAIN_LENGTHSpecifies the maximum allowable length of a chain of both user-entered and Compiler-synthesizedCASCADE buffers. Cascade chains tha

Page 914

INIT_DONE_OPEN_DRAINSpecify open drain on the INIT_DONE pin should be enabled or notTypeBooleanDevice SupportThis setting can be used in projects targ

Page 915

INPUT_DELAY_CHAINSpecifies the propagation delay for Input Delay Chain. This is an advanced option that should be usedonly after you have compiled a p

Page 916 - PARTITION_IMPORT_FILE

INPUT_REFERENCEAllows you to specify the VREF pin for the I/O standard being used by an I/O pin. This option is ignoredif it is applied to anything ot

Page 917

INPUT_TERMINATIONAllows the Compiler to configure the on-chip termination (OCT) and impedance matching for an I/Opin. OCT helps to prevent signal refl

Page 918 - PARTITION_LAST_IMPORTED_FILE

INSERT_ADDITIONAL_LOGIC_CELLAllows the Compiler to insert an additional logic cell after the output(s) of the logic function to which it isapplied, pr

Page 919 - PARTITION_NETLIST_TYPE

INTERNAL_FLASH_UPDATE_MODESpecifies the configuration mode used with the configuration scheme for configuring the device.TypeEnumerationValues• Dual I

Page 920

INTERNAL_SCRUBBINGSpecifies internal scrubbing usage for the selected device. If internal scrubbing is turned on, the devicecorrects single error or d

Page 921 - PROPAGATE_CONSTANTS_ON_INPUTS

IO_12_LANE_INPUT_DATA_DELAY_CHAINSpecifies the propagation delay for IO_12_LANE Input Data Delay Chain. This is an advanced option thatshould be used

Page 922

IO_12_LANE_INPUT_STROBE_DELAY_CHAINSpecifies the propagation delay for IO_12_LANE Input Strobe Delay Chain. This is an advanced optionthat should be u

Page 923 - QDB_FILE

IO_MAXIMUM_TOGGLE_RATESpecifies the toggle rate of this node. You can specify the desired frequency setting. This option is ignoredif it is applied to

Page 924 - QDB_PATH

CLKLOCKX1_INPUT_FREQCreates an internal ClockLock phase-locked loop (PLL) and specifies its frequency. Turning this optionon is equivalent to instanti

Page 925 - QHD_MODE

IO_PLACEMENT_OPTIMIZATIONSpecifies whether the Fitter optimizes the location of IOs that do not already have pin locations assignedto them. Performing

Page 926

IO_STANDARDSpecifies the I/O standard of a pin. Different device families support different I/O standards, andrestrictions apply to placing pins with

Page 927

LVDS_DIRECT_LOOPBACK_MODEEnable the LVDS Direct Loop Mode on a True Differential output pin. This assignment should only applyfrom an input pin to an

Page 928 - LogicLock Region Assignments

LVDS_RX_REGISTERDirects the Compiler to perform special placement and routing of the specified register for LVDS receiverinterfacesTypeEnumerationValu

Page 929 - LL_CORE_ONLY

M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCYAllows you to specify whether the M144K memory block read operations depend upon the read clock'sduty

Page 930 - LL_ENABLED

MATCH_PLL_COMPENSATION_CLOCKAllows you to specify a PLL output clock feeding a clock network as a compensation target for a PLL inNORMAL or SOURCE_SYN

Page 931 - LL_HEIGHT

MAX10FPGA_CONFIGURATION_SCHEMEThe method used to load a design into the device. Only one configuration scheme is available: InternalConfiguration (use

Page 932 - LL_MEMBER_EXCEPTIONS

MAX7000B_VCCIO_IOBANK1Specifies the default I/O Bank1 Voltage to be used for pins on the target device.TypeStringDevice SupportThis setting can be use

Page 933 - LL_MEMBER_OF

MAX7000B_VCCIO_IOBANK2Specifies the default I/O Bank2 Voltage to be used for pins on the target device.TypeStringDevice SupportThis setting can be use

Page 934

MAX7000_DEVICE_IO_STANDARDSpecifies the default I/O standard to be used for pins on the target device.TypeStringDevice SupportThis setting can be used

Page 935 - LL_ORIGIN

CYCLONEII_OPTIMIZATION_TECHNIQUESpecifies the overall optimization goal for Analysis & Synthesis: attempt to maximize performance,minimize logic u

Page 936 - LL_PARENT

MAX7000_ENABLE_JTAG_BST_SUPPORTEnables JTAG boundary-scan test (BST) support.TypeBooleanDevice SupportThis setting can be used in projects targeting a

Page 937 - LL_PRIORITY

MAX7000_INDIVIDUAL_TURBO_BITControls the speed vs. power usage trade-off for a macrocell. If the Turbo Bit is on, the macrocell's speedincreases;

Page 938 - LL_RESERVED

MAX_CLOCKS_ALLOWEDSpecifies the maximum number of clocks of any type (e.g. global clock, regional clock) that can be used bythe design. A value of -1

Page 939 - LL_ROOT_REGION

MAX_CONSECUTIVE_OUTPUTS_FOR_ELECTROMIGRATIONSpecifies the number of consecutive horizontal output or bidirectional pins considered in the current-dens

Page 940 - LL_STATE

MAX_CONSECUTIVE_VIO_OUTPUTS_FOR_ELECTROMIGRATIONSpecifies the number of consecutive vertical output or bidirectional pins considered in the current-de

Page 941 - LL_WIDTH

MAX_CURRENT_FOR_ELECTROMIGRATIONSpecifies the maximum amount of DC current, in mA, allowed on horizontal output or bidirectional pinswhen the Fitter c

Page 942 - Migration Assignments

MAX_CURRENT_FOR_VIO_ELECTROMIGRATIONSpecifies the maximum amount of DC current, in mA, allowed on vertical output or bidirectional pinswhen the Fitter

Page 943 - MIGRATION_AUTO_PORT_SWAP

MAX_GLOBAL_CLOCKS_ALLOWEDSpecifies the maximum number of global clocks that can be used by the design. A value of -1 means thatthe fitter can use all

Page 944 - MIGRATION_RAM_INFORMATION

MAX_PERIPHERY_CLOCKS_ALLOWEDSpecifies the maximum number of periphery clocks that can be used by the design. A value of -1 meansthat the fitter can us

Page 945 - Netlist Viewer Assignments

MAX_REGIONAL_CLOCKS_ALLOWEDSpecifies the maximum number of regional clocks that can be used by the design. A value of -1 means thatthe fitter can use

Page 946

CYCLONE_OPTIMIZATION_TECHNIQUESpecifies the overall optimization goal for Analysis & Synthesis: attempt to maximize performance,minimize logic usa

Page 947 - RTLV_GROUP_RELATED_NODES

MEMORY_INTERFACE_DATA_PIN_GROUPSpecifies the group width (4, 9, 18, or 36), and associates a pin with another pin. Turning on this optionallows the Fi

Page 948 - RTLV_GROUP_RELATED_NODES_TMV

MEM_INTERFACE_DELAY_CHAIN_CONFIGChanges Quartus II Fitter behavior regarding delay chain configurations on memory interface pins. Thisoption is ignore

Page 949

MERCURY_CONFIGURATION_SCHEMEThe method used to load data into the device. Three configuration schemes are available: Passive ParallelAsynchronous (PPA

Page 950 - RTLV_SIMPLIFIED_LOGIC

MERCURY_DECREASE_INPUT_DELAY_TO_INTERNAL_CELLSDecreases the propagation delay from an input or bidirectional pin to logic and embedded cells within th

Page 951 - APEX20K_CLIQUE_TYPE

MERCURY_DEVICE_IO_STANDARDSpecifies the default I/O standard to be used for pins on the target device.TypeStringDevice SupportThis setting can be used

Page 952 - APEX20K_LOCAL_ROUTING_SOURCE

MERGE_TX_PLL_DRIVEN_BY_REGISTERS_WITH_SAME_CLEARAllows merging of HSSI TX PLLs if their reset input are driven by registers that have the sameasynchro

Page 953 - FAST_INPUT_REGISTER

MIGRATION_CONSTRAIN_CORE_RESOURCESLimits the compiler to using only those core resources which are also available in the target migrationdeviceTypeBoo

Page 954 - FAST_OCT_REGISTER

MIGRATION_DEVICESShows the selected migration devices for the target device.TypeStringDevice SupportThis setting can be used in projects targeting any

Page 955 - FAST_OUTPUT_ENABLE_REGISTER

NCEO_OPEN_DRAINSpecify open drain on the nCEO pin should be enabled or notTypeBooleanDevice SupportThis setting can be used in projects targeting any

Page 956 - FAST_OUTPUT_REGISTER

NDQS_LOCAL_CLOCK_DELAY_CHAINSet the propagation delay on the NDQS signal to the input register of the target pin. This is an advancedoption that shoul

Page 957 - FLEX10K_CLIQUE_TYPE

DEVICE_FILTER_PACKAGEPackage filter for available devices.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device fam

Page 958 - FLEX6K_CLIQUE_TYPE

NORMAL_LCELL_INSERTDirects the Fitter to enable or disable logic cell insertion when the logic cells are not part of a carry orcascade chain. When thi

Page 959 - FLEX6K_LOCAL_ROUTING_SOURCE

OE_DELAY_CHAINSpecifies the propagation delay for Output Enable Delay Chain. This is an advanced option that should beused only after you have compile

Page 960 - IP_DEBUG_VISIBLE

OPTIMIZE_FOR_METASTABILITYThis setting improves the reliability of the design by increasing its Mean Time Between Failures (MTBF).When this setting is

Page 961

OPTIMIZE_HOLD_TIMINGAllows the Fitter to optimize hold time by adding delay to the appropriate paths. The Optimize Timingoption must be turned on in o

Page 962 - LOCATION

OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMINGControls whether the fitter optimizes I/O pin timing by automatically packing registers into I/Os tominimize

Page 963 - MAX7K_CLIQUE_TYPE

OPTIMIZE_MULTI_CORNER_TIMINGControls whether the Fitter optimizes a design to meet timing requirements at all process corners andoperating conditions.

Page 964 - MEMBER_OF

OPTIMIZE_POWER_DURING_FITTINGControls the power-driven compilation setting of the Fitter. This option determines how aggressively theFitter optimizes

Page 965 - MERCURY_CLIQUE_TYPE

OPTIMIZE_SSNControls the Simultaneous Switching Noise (SSN) optimization setting of the Fitter. This optiondetermines how aggressively the Fitter opti

Page 966 - PIN_CONNECT_FROM_NODE

OPTIMIZE_TIMINGControls whether the Fitter optimizes to meet the maximum delay timing requirements (for example,clock cycle time). By default, this op

Page 967 - RESERVE_PIN

OUTPUT_BUFFER_DELAYSpecifies the delay value (in ps) for the Programmable Output Buffer Delay. Turning on this featureshould improve the output duty c

Page 968 - SUBCLIQUE_OF

DEVICE_FILTER_PIN_COUNTPin count filter for available devices.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device

Page 969 - VIRTUAL_PIN

OUTPUT_BUFFER_DELAY_CONTROLSets the Programmable Output Buffer Delay control. Turning on this feature should improve the outputduty cycle at the cost

Page 970 - Power Estimation Assignments

OUTPUT_DELAY_CHAINSpecifies the propagation delay for Output Delay Chain. This is an advanced option that should be usedonly after you have compiled a

Page 971 - POWER_AUTO_COMPUTE_TJ

OUTPUT_ENABLE_DELAYSpecifies the propagation delay to the output enable pin from internal logic or the output enable registerimplemented in an I/O cel

Page 972 - POWER_BOARD_TEMPERATURE

OUTPUT_ENABLE_GROUPAssigns an output enable group number for the specified node. Turning on this option directs the Fitter toview the specified nodes

Page 973 - POWER_BOARD_THERMAL_MODEL

OUTPUT_ENABLE_REGISTER_DUPLICATIONDuplicates a register that feeds to the output enable port of an I/O cell. Turning on this option can helpmaximize t

Page 974

OUTPUT_ENABLE_ROUTINGSpecifies whether an output enable signal in an I/O cell should be driven by the peripheral bus or thesingle-pin path. The Single

Page 975 - POWER_DEFAULT_TOGGLE_RATE

OUTPUT_PIN_LOADSpecifies the capacitive load, in picofarads (pF), on output pins for each I/O standard. Note: These settingsaffect FPGA pins only. To

Page 976

OUTPUT_TERMINATIONAllows the Compiler to configure the on-chip termination (OCT) and impedance matching for an I/Opin. OCT helps to prevent signal ref

Page 977 - POWER_HPS_DYNAMIC_POWER_DUAL

OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERSSpecifies whether you want the Fitter to use default electromigration values, or if you want to specifymax

Page 978

PAD_TO_CORE_DELAYSpecifies the propagation delay from an input or bidirectional pin to logic and embedded cells within thedevice. This is an advanced

Page 979 - POWER_HPS_ENABLE

DEVICE_FILTER_SPEED_GRADESpeed grade filter for available devices.TypeStringDevice SupportThis setting can be used in projects targeting any Altera de

Page 980

PAD_TO_DDIO_REGISTER_DELAYSpecifies the propagation delay from an input pin to the data input of the DDIO low capture inputregister in the I/O cell as

Page 981 - POWER_HPS_PROC_FREQ

PAD_TO_INPUT_REGISTER_DELAYSpecifies the propagation delay from an input pin to the data input of the input register implemented inthe I/O cell associ

Page 982 - POWER_HPS_STATIC_POWER

PCI_IOTurns on Peripheral Component Interconnect (PCI) compatibility for a pin. For example, when theVCCIO of an EP20K400 device operates at 3.3 V and

Page 983 - POWER_HPS_TOTAL_POWER

PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELININGSpecifies that Quartus II should perform automatic insertion of pipeline stages for asynchronous clea

Page 984 - POWER_HSSI

PHYSICAL_SYNTHESIS_COMBO_LOGICSpecifies that Quartus should perform physical synthesis optimizations on combinational logic duringsynthesis and fittin

Page 985 - POWER_HSSI_LEFT

PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREASpecifies that the Fitter should perform physical synthesis optimizations on combinational logic duringfitting

Page 986 - POWER_HSSI_RIGHT

PHYSICAL_SYNTHESIS_EFFORTSpecifies the amount of effort, in terms of compile time, physical synthesis should use. Compared to theDefault setting, a se

Page 987 - POWER_HSSI_VCCHIP_LEFT

PHYSICAL_SYNTHESIS_LOG_FILESpecifies the log file that lists all the FSYN operations performed in a previous compile that need to bereproduced. This l

Page 988 - POWER_HSSI_VCCHIP_RIGHT

PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREASpecifies that the Fitter should perform physical synthesis optimizations on logic and registers, speci

Page 989 - POWER_INPUT_FILE_NAME

PHYSICAL_SYNTHESIS_REGISTER_DUPLICATIONSpecifies that the Fitter should perform physical synthesis optimizations on registers, specifically allowingre

Page 990 - POWER_INPUT_FILE_TYPE

DEVICE_FILTER_VOLTAGEVoltage filter for available devices.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device fam

Page 991 - POWER_INPUT_SAF_NAME

PHYSICAL_SYNTHESIS_REGISTER_RETIMINGSpecifies that Quartus should perform physical synthesis optimizations on registers, specifically allowingregister

Page 992 - POWER_INPUT_VCD_FILE_NAME

PLACEMENT_EFFORT_MULTIPLIERControls how much time the fitter spends in placement. The default value is 1.0 and legal values must begreater than 0. Spe

Page 993 - POWER_OCS_VALUE

PLL_AUTO_RESETCauses the PLL to self-reset automatically on loss of lock.TypeBooleanDevice SupportThis setting can be used in projects targeting any A

Page 994 - POWER_OJB_VALUE

PLL_BANDWIDTH_PRESETSpecifies the PLL bandwidth preset setting.TypeEnumerationValues• Auto• High• Low• MediumDevice SupportThis setting can be used in

Page 995 - POWER_OJC_VALUE

PLL_CHANNEL_SPACINGSpecifies the PLL channel spacing. The PLL channel spacing is the frequency difference betweensuccessive oscillations of the feedba

Page 996 - POWER_OSA_VALUE

PLL_COMPENSATEAllows you to specify an output pin as a compensation target for a PLL in ZERO_DELAY_BUFFER orEXTERNAL_FEEDBACK mode, or an input pin or

Page 997 - POWER_OUTPUT_SAF_NAME

PLL_COMPENSATION_MODESpecifies the routing path of the PLL feedback clock and adjusts the delay chains in the PLL.TypeEnumerationValues• Direct• Exter

Page 998 - POWER_PRESET_COOLING_SOLUTION

PLL_ENFORCE_USER_PHASE_SHIFTEnsures that phase shift requirements are given higher priority.TypeBooleanDevice SupportThis setting can be used in proje

Page 999 - POWER_READ_INPUT_FILE

PLL_FEEDBACK_CLOCK_SIGNALAllows you to specify whether PLL feedback clock signal should be routed using global or regional routingpaths in the PLL con

Page 1000

PLL_FORCE_OUTPUT_COUNTERForces which counter to use for a particular PLL clock output. By default the compiler will automaticallydetermine the best co

Page 1001 - POWER_REPORT_SIGNAL_ACTIVITY

DISABLE_DSP_NEGATE_INFERENCINGAllow you to specify whether to use the negate port on an inferred DSP block.TypeBooleanDevice SupportThis setting can b

Page 1002

PLL_FORCE_OUTPUT_COUNTER_HARDCOPY_REPLAYForces which counter to use for a particular PLL clock output. By default the compiler will automaticallydeter

Page 1003

PLL_IGNORE_MIGRATION_DEVICESForces the compiler to ignore the migration devices when calculating the PLL settings. Normally the PLLis configured to wo

Page 1004 - POWER_STATIC_PROBABILITY

PLL_OPTIMIZE_PHASE_SHIFT_FOR_TIMINGAllows the Fitter to set the phase shift of a PLL output counter, and hence the phase shift of its generatedclock,

Page 1005 - POWER_TJ_VALUE

PLL_OUTPUT_CLOCK_FREQUENCYSpecifies the output clock frequency of the PLL.TypeFrequencyDevice SupportThis setting can be used in projects targeting an

Page 1006 - POWER_TOGGLE_RATE

PLL_PFD_CLOCK_FREQUENCYSpecifies the phase frequency detector (PFD) clock frequency.TypeFrequencyDevice SupportThis setting can be used in projects ta

Page 1007 - POWER_TOGGLE_RATE_PERCENTAGE

PLL_TYPESpecifies a specific PLL implementation to target.TypeEnumerationValues• ATX• CMU• IOPLL• fPLLDevice SupportThis setting can be used in projec

Page 1008

PLL_VCO_CLOCK_FREQUENCYSpecifies the voltage controlled oscillator (VCO) output clock frequency.TypeFrequencyDevice SupportThis setting can be used in

Page 1009

PRESERVE_PLL_COUNTER_ORDERPreserves the order of PLL clock outputs used when selecting corresponding output counters. Forexample, a clk0 output will u

Page 1010 - POWER_USE_INPUT_FILE

PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILESSets an upper limit on the fraction of the LAB tiles used by your design that can be h

Page 1011 - POWER_USE_INPUT_FILES

PROGRAMMABLE_POWER_TECHNOLOGY_SETTINGControls how the fitter configures tiles to operate in high-speed mode or low-power mode. Automaticspecifies that

Page 1012 - POWER_USE_PVA

BOARD_MODEL_FAR_PULLUP_RSpecifies, in ohms, the board trace model far pull-up resistance.TypeStringDevice SupportThis setting can be used in projects

Page 1013 - POWER_USE_TA_VALUE

DISABLE_OCP_HW_EVALTurns off OpenCore Plus hardware evaluation feature.TypeBooleanDevice SupportThis setting can be used in projects targeting any Alt

Page 1014 - POWER_VCCAUX_USER_OPTION

PROGRAMMABLE_PREEMPHASISImplements control of programmable pre-emphasis, which helps compensate for high frequency losses.This option is ignored if it

Page 1015 - POWER_VCCA_GXBL_USER_OPTION

PROGRAMMABLE_VODImplements control of programmable VOD. This option is ignored if it is applied to anything other thanan output or bidirectional pin,

Page 1016 - POWER_VCCA_GXBR_USER_OPTION

PR_DONE_OPEN_DRAINSpecify open drain on the PR_DONE pin should be enabled or notTypeBooleanDevice SupportThis setting can be used in projects targetin

Page 1017 - POWER_VCCA_GXB_USER_OPTION

PR_ERROR_OPEN_DRAINSpecify open drain on the PR_ERROR pin should be enabled or notTypeBooleanDevice SupportThis setting can be used in projects target

Page 1018 - POWER_VCCA_L_USER_OPTION

PR_PINS_OPEN_DRAINSpecify open drain on the Partial Reconfiguration pins (PR_READY, PR_ERROR, and PR_DONE)should be enabled or notTypeBooleanDevice Su

Page 1019 - POWER_VCCA_R_USER_OPTION

PR_READY_OPEN_DRAINSpecify open drain on the PR_READY pin should be enabled or notTypeBooleanDevice SupportThis setting can be used in projects target

Page 1020 - POWER_VCCCB_USER_OPTION

QDR_D_PIN_GROUPAssigns a quad data rate (QDR) D (data) output pin group number to a specified pin. Turning on thisoption allows the Fitter to view pin

Page 1021 - POWER_VCCH_GXBL_USER_OPTION

QII_AUTO_PACKED_REGISTERSAllows the Compiler to combine a register and a combinational function, or to implement registers usingI/O cells, RAM blocks,

Page 1022 - POWER_VCCH_GXBR_USER_OPTION

Syntax set_global_assignment -name QII_AUTO_PACKED_REGISTERS <value> set_global_assignment -name QII_AUTO_PACKED_REGISTERS -entity

Page 1023 - POWER_VCCH_GXB_USER_OPTION

RESERVE_ALL_UNUSED_PINSReserves all unused pins on the target device in one of 5 states: as inputs that are tri-stated, as outputs thatdrive ground, a

Page 1024 - POWER_VCCIO_USER_OPTION

DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIESSpecifies whether registers that are in different hierarchies are allowed to be merged if their inputs are

Page 1025 - POWER_VCCL_GXB_USER_OPTION

RESERVE_ALL_UNUSED_PINS_WEAK_PULLUPReserves all unused pins on the target device in one of 5 states: as inputs that are tri-stated, as outputs thatdri

Page 1026 - POWER_VCCPD_USER_OPTION

RESERVE_ASDO_AFTER_CONFIGURATIONSpecifies how the ASDO pin should be used when the device is operating in user mode after configurationis complete. De

Page 1027 - POWER_VCCR_GXBL_USER_OPTION

RESERVE_DATA0_AFTER_CONFIGURATIONSpecifies how the Data[0] pin should be used when the device is operating in user mode afterconfiguration is complete

Page 1028 - POWER_VCCR_GXBR_USER_OPTION

RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATIONSpecifies how the Data[15..8] pins should be used when the device is operating in user mode afterconfi

Page 1029 - POWER_VCCR_GXB_USER_OPTION

RESERVE_DATA1_AFTER_CONFIGURATIONSpecifies how the Data[1]/ASDO pin should be used when the device is operating in user mode afterconfiguration is com

Page 1030 - POWER_VCCT_GXBL_USER_OPTION

RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATIONSpecifies how the Data[31..16] pins should be used when the device is operating in user mode aftercon

Page 1031 - POWER_VCCT_GXBR_USER_OPTION

RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATIONSpecifies how the Data[7..1] pins should be used when the device is operating in user mode afterconfigu

Page 1032 - POWER_VCCT_GXB_USER_OPTION

RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATIONSpecifies how the Data[7..2] pins should be used when the device is operating in user mode afterconfigu

Page 1033 - POWER_VCD_FILE_END_TIME

RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATIONSpecifies how the Data[7..5] pins should be used when the device is operating in user mode afterconfigu

Page 1034 - POWER_VCD_FILE_START_TIME

RESERVE_DCLK_AFTER_CONFIGURATIONSpecifies how the DCLK pin should be used when the device is operating in user mode after configurationis complete. De

Page 1035 - POWER_VCD_FILTER_GLITCHES

DONT_MERGE_REGISTERWhen set to On, this option prevents the specified register from merging with other registers, andprevents other registers from mer

Page 1036 - VCCAUX_SHARED_USER_VOLTAGE

RESERVE_FLASH_NCE_AFTER_CONFIGURATIONSpecifies how the FLASH_nCE/nCSO pin should be used when the device is operating in user mode afterconfiguration

Page 1037 - VCCAUX_USER_VOLTAGE

RESERVE_FLEXIBLE_CLOCK_NETWORKAllows you to specify whether this clock should be routed using only flexible section clock networkrouting. This setting

Page 1038 - VCCA_FPLL_USER_VOLTAGE

RESERVE_NCEO_AFTER_CONFIGURATIONSpecifies how the nCEO pin should be used when the device is operating in user mode after configurationhas been comple

Page 1039 - VCCA_GTBR_USER_VOLTAGE

RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATIONSpecifies how the nWS, nRS, nCS, and CS pins should be used when the device is operating in user modeafter c

Page 1040 - VCCA_GTB_USER_VOLTAGE

RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATIONSpecifies how the Data[15..8], PADD[23..0], NRESET, NAVD, NOE and NWE pins should be used whenthe device is o

Page 1041 - VCCA_GXBL_USER_VOLTAGE

RESERVE_RDYNBUSY_AFTER_CONFIGURATIONSpecifies how the RDYnBUSY pin should be used when the device is operating in user mode afterconfiguration is comp

Page 1042 - VCCA_GXBR_USER_VOLTAGE

ROUTER_CLOCKING_TOPOLOGY_ANALYSISDirects the router to perform an analysis of the design's clocking topology and adjust the optimizationapproach

Page 1043 - VCCA_GXB_USER_VOLTAGE

ROUTER_EFFORT_MULTIPLIERControls how quickly the router tries to find a valid solution. The default value is 1.0 and legal values mustbe greater than

Page 1044 - VCCA_L_USER_VOLTAGE

ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATIONAllows the Fitter to automatically insert buffer logic cells between two nodes without altering thefunctio

Page 1045 - VCCA_PLL_USER_VOLTAGE

ROUTER_REGISTER_DUPLICATIONAllows the Fitter to automatically duplicate registers within a LAB containing empty logic cells. Thisoption does not alter

Page 1046 - VCCA_R_USER_VOLTAGE

DQS_DELAYIncreases the propagation delay from a DQS I/O pin to the interior of the device. This option is used tocenter-align the DQS signal to the DQ

Page 1047 - VCCA_USER_VOLTAGE

ROUTER_TIMING_OPTIMIZATION_LEVELControls how aggressively the router tries to meet timing requirements. Setting this option to Maximumcan increase des

Page 1048 - VCCBAT_USER_VOLTAGE

ROW_GLOBAL_SIGNALSpecifies whether the signal should be available throughout the device on the global routing pathsavailable within each row. Row-glob

Page 1049 - VCCCB_USER_VOLTAGE

RZQ_GROUPSpecifies an RZQ pin name and an OCT to terminate the given pin. Using the same RZQ pin nameinstructs the fitter to use the same OCT to termi

Page 1050 - VCCD_FPLL_USER_VOLTAGE

SCE_PINSpecifies the SCE configuration pin.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThis

Page 1051 - VCCD_PLL_USER_VOLTAGE

SDO_PINSpecifies the SDO configuration pin.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.NotesThis

Page 1052 - VCCD_USER_VOLTAGE

SEEDSpecifies the starting value the Fitter uses when randomly determining the initial placement for thecurrent design. The value can be any non-negat

Page 1053 - VCCEH_GXBL_USER_VOLTAGE

SLEW_RATEImplements control of low-to-high/high-to-low transitions on output pins to help reduce switching noise.When a large number of output pins sw

Page 1054 - VCCEH_GXBR_USER_VOLTAGE

SLOW_SLEW_RATEImplements slow low-to-high/high-to-low transitions on output pins to help reduce switching noise.When a large number of output pins swi

Page 1055 - VCCEH_GXB_USER_VOLTAGE

STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESETAllows the compiler to enable netlist placements and routing where the dedicated reference clock pad in

Page 1056 - VCCE_GXBL_USER_VOLTAGE

STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODEEnables the double data width (channel widths of 16 and 20) GIGE mode operation of GXB Receiver andTrans

Page 1057 - VCCE_GXBR_USER_VOLTAGE

DQS_FREQUENCYSpecifies the DQS system clock frequency by which data is transferred between a device and an externalRAM that uses double data rate (DDR

Page 1058 - VCCE_GXB_USER_VOLTAGE

STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGEEnables GIGE configurations of GXB Receiver and Transmitter channels to operate at other dataratesthan 1

Page 1059 - VCCE_USER_VOLTAGE

STRATIXGX_ALLOW_GIGE_WITHOUT_8B10BEnables GIGE configurations of GXB Receiver and Transmitter channels where the 8B10B decoder andencoder are not used

Page 1060 - VCCHIP_L_USER_VOLTAGE

STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHEREnables GIGE configurations of GXB Receiver channels with the coreclk selected at the rate m

Page 1061 - VCCHIP_R_USER_VOLTAGE

STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCEAllows GIGE configurations of GXB Receiver channels where the coreclk input is fed from anot

Page 1062 - VCCHIP_USER_VOLTAGE

STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODEEnables the double data width (channel widths of 16 and 20) parallel loopback mode operatio

Page 1063 - VCCHSSI_L_USER_VOLTAGE

STRATIXGX_ALLOW_POST8B10B_LOOPBACKAllows Post 8B10B parallel loopback configurations of GXB Receiver and Transmitter channelsTypeBooleanDevice Support

Page 1064 - VCCHSSI_R_USER_VOLTAGE

STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACKAllows Reverse parallel loopback configurations of GXB Receiver and Transmitter channelsTypeBooleanDevice Sup

Page 1065 - VCCH_GTBR_USER_VOLTAGE

STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODEEnables the GXB Receiver coreclk input to be sourced from a different si

Page 1066 - VCCH_GTB_USER_VOLTAGE

STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOSDirects the compiler to allow the use of I/O pins that couple onto the GXB I/O banksTypeBooleanDevice SupportThi

Page 1067 - VCCH_GXBL_USER_VOLTAGE

STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODEEnables single data width (channel width of 8) XAUI mode operation of GXB Receiver and Transmitterchanne

Page 1068 - VCCH_GXBR_USER_VOLTAGE

DQS_SHIFTSpecifies the interval of arrival between the DQ data signals and DQS signal during data transfer betweena device and an external RAM that us

Page 1069 - VCCH_GXB_USER_VOLTAGE

STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHEREnables XAUI configurations of GXB Receiver channels with the coreclk selected at the rate m

Page 1070 - VCCH_L_USER_VOLTAGE

STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCEAllows XAUI configurations of GXB Receiver channels where the coreclk input is fed from anot

Page 1071 - VCCH_R_USER_VOLTAGE

STRATIXGX_TERMINATION_VALUEAllows the Compiler to configure the on-chip termination (OCT) for a Stratix GX gigabit transceiverblock (GXB) receiver cha

Page 1072 - VCCINT_USER_VOLTAGE

STRATIXIIGX_TERMINATION_VALUEAllows the Compiler to configure the on-chip termination (OCT) for a Stratix II GX gigabit transceiverblock (GXB) receive

Page 1073 - VCCIO_USER_VOLTAGE

STRATIXIII_CONFIGURATION_SCHEMEThe method used to load data into the device. Three configuration schemes are available: Passive Serial(PS); Fast Passi

Page 1074 - VCCL_GTBL_USER_VOLTAGE

STRATIXIII_MRAM_COMPATIBILITYDirect Quartus II software to produce programming files that are compatible with all silicon revisions.Please see the Str

Page 1075 - VCCL_GTBR_USER_VOLTAGE

STRATIXIII_UPDATE_MODESpecifies the configuration mode used with the configuration scheme for configuring the device.TypeEnumerationValues• Remote• St

Page 1076 - VCCL_GTB_USER_VOLTAGE

STRATIXII_CONFIGURATION_SCHEMEThe method used to load data into the device. Four configuration schemes are available: Passive ParallelAsynchronous (PP

Page 1077 - VCCL_GXBL_USER_VOLTAGE

STRATIXII_TERMINATIONAllows the Compiler to configure the on-chip termination (OCT) and impedance matching for an I/Opin. OCT helps to prevent signal

Page 1078 - VCCL_GXBR_USER_VOLTAGE

STRATIXV_CONFIGURATION_SCHEMEThe method used to configure a device with a design. Up to six configuration schemes are available:Passive Serial (PS), P

Page 1079 - VCCL_GXB_USER_VOLTAGE

DQS_SYSTEM_CLOCKSpecifies the clock input used as a frequency reference for a DQS I/O pin. The clock is the pin that drivesthe DDIO circuitry for the

Page 1080 - VCCL_USER_VOLTAGE

STRATIX_CONFIGURATION_SCHEMEThe method used to load data into the device. Three configuration schemes are available: Passive ParallelAsynchronous (PPA

Page 1081 - VCCPD_USER_VOLTAGE

STRATIX_DECREASE_INPUT_DELAY_TO_INTERNAL_CELLSDecreases the propagation delay from an input or bidirectional pin to logic and embedded cells within th

Page 1082 - VCCPGM_USER_VOLTAGE

STRATIX_DEVICE_IO_STANDARDSpecifies the default I/O standard to be used for pins on the target device.Old NameYEAGER_DEVICE_IO_STANDARDTypeStringDevic

Page 1083 - VCCPLL_HPS_USER_VOLTAGE

STRATIX_UPDATE_MODESpecifies the configuration mode used with the configuration scheme for configuring the device.Old NameYEAGER_UPDATE_MODETypeEnumer

Page 1084 - VCCPT_USER_VOLTAGE

SYNCHRONIZER_IDENTIFICATIONSpecifies how the TimeQuest Timing Analyzer identifies registers as being part of a synchronizationregister chain for metas

Page 1085 - VCCP_USER_VOLTAGE

name> <value> set_instance_assignment -name SYNCHRONIZER_IDENTIFICATION -to <to> -entity <entity name> <value> De

Page 1086 - VCCRSTCLK_HPS_USER_VOLTAGE

SYNCHRONIZER_TOGGLE_RATESpecifies the toggle rate of this register. The units for this value are in transitions per second, and must bepositive. This

Page 1087 - VCCR_GTBL_USER_VOLTAGE

T11_0_DELAYSpecifies the propagation delay for the gated T11 delay cell. Use this advanced option only after you havecompiled a project, checked the I

Page 1088 - VCCR_GTBR_USER_VOLTAGE

T11_1_DELAYSpecifies the propagation delay for the ungated T11 delay cell. Use this advanced option only after youhave compiled a project, checked the

Page 1089 - VCCR_GTB_USER_VOLTAGE

T11_DELAYSpecifies the propagation delay for T11 Delay Cell. This is an advanced option that should be used onlyafter you have compiled a project, che

Page 1090 - VCCR_GXBL_USER_VOLTAGE

DSE_SYNTH_EXTRA_EFFORT_MODESpecifies the Design Space Explorer synthesis extra effort mode.TypeEnumerationValues• MODE_1• MODE_2• MODE_3• MODE_4• MODE

Page 1091 - VCCR_GXBR_USER_VOLTAGE

T11_FINE_DELAYEnable the fine delay resolution on T11 Delay (DQS post-amble delay cell)TypeBooleanDevice SupportThis setting can be used in projects t

Page 1092 - VCCR_GXB_USER_VOLTAGE

T4_DELAYSpecifies the propagation delay for T4 Delay Cell (Output register to switch mux). This is an advancedoption that should be used only after yo

Page 1093 - VCCR_L_USER_VOLTAGE

T8_DELAY0Specifies the propagation delay for T8 Delay Cell. This is an advanced option that should be used onlyafter you have compiled a project, chec

Page 1094 - VCCR_R_USER_VOLTAGE

T8_DELAY1Specifies the propagation delay for T8 Delay Cell. This is an advanced option that should be used onlyafter you have compiled a project, chec

Page 1095 - VCCR_USER_VOLTAGE

TERMINATIONAllows the Compiler to configure the on-chip termination (OCT) and impedance matching for an I/Opin. OCT helps to prevent signal reflection

Page 1096 - VCCT_GTBL_USER_VOLTAGE

TERMINATION_CONTROL_BLOCKSpecifies the control block used for calibrated on-chip termination (OCT) and impedance matching foran I/O pin. OCT helps to

Page 1097 - VCCT_GTBR_USER_VOLTAGE

TREAT_BIDIR_AS_OUTPUTDirects the bidirectional pin to be essentially treated as an output pin meaning that the input path is usedfor feedback from the

Page 1098 - VCCT_GTB_USER_VOLTAGE

TRI_STATE_SPI_PINSThis option controls Active Configuration Controller to tri-state the Active Configuration pins in usermode. This option would be ig

Page 1099 - VCCT_GXBL_USER_VOLTAGE

TURBO_BITControls the speed vs. power usage trade-off for a macrocell (that is, for an embedded cell within anEmbedded System Block [ESB] that is set

Page 1100 - VCCT_GXBR_USER_VOLTAGE

TXPMA_SLEW_RATETo overwrite TX PMA slew rate to 4 options: Off, Low, Medium, High.TypeEnumerationValues• High• Low• Medium• OffDevice SupportThis sett

Page 1101 - VCCT_GXB_USER_VOLTAGE

DSP_BLOCK_BALANCINGAllows you to control the conversion of certain DSP block slices during DSP block balancing.TypeEnumerationValues• Auto• DSP blocks

Page 1102 - VCCT_L_USER_VOLTAGE

UNFORCE_MERGE_PLLPrevents the specified PLL to be merged with the master PLL. Use this option only for two compatiblePLLs driven by the same clock sou

Page 1103 - VCCT_R_USER_VOLTAGE

UNFORCE_MERGE_PLL_OUTPUT_COUNTERPrevents the specified PLL output counter to be merged with the master PLL output counter. Use thisoption only for two

Page 1104 - VCCT_USER_VOLTAGE

UNUSED_TSD_PINS_GNDIf this option is turned on, unused temperature sensing diode (TSD) pins, TEMPDIODEp/TEMPDIODEn, on the device are automatically se

Page 1105 - VCC_HPS_USER_VOLTAGE

USER_START_UP_CLOCKDirects the device to use a user-supplied clock on the CLKUSR pin for initialization.Old NameUser Specified Start-up clockTypeBoole

Page 1106 - VCC_USER_VOLTAGE

VCCIO_CURRENT_1PT8VFor user to override VCCIO current of 1.8-V io standard. Original current is 2mATypeIntegerDevice SupportThis setting can be used i

Page 1107 - Programmer Assignments

VCCIO_CURRENT_2PT5VFor user to override VCCIO current of 2.5-V io standard. Original current is 2mATypeIntegerDevice SupportThis setting can be used i

Page 1108 - GENERATE_CONFIG_ISC_FILE

VCCIO_CURRENT_GTLFor user to override VCCIO current of GTL. Not yet supported in MAX7000.TypeIntegerDevice SupportThis setting can be used in projects

Page 1109 - GENERATE_CONFIG_JAM_FILE

VCCIO_CURRENT_GTL_PLUSFor user to override VCCIO current of GTL+. Original current is 0mATypeIntegerDevice SupportThis setting can be used in projects

Page 1110 - GENERATE_CONFIG_JBC_FILE

VCCIO_CURRENT_LVCMOSFor user to override VCCIO current of LVCMOS. Original current is 2mATypeIntegerDevice SupportThis setting can be used in projects

Page 1111

VCCIO_CURRENT_LVTTLFor user to override VCCIO current of LVTTL. Original current is 4mATypeIntegerDevice SupportThis setting can be used in projects t

Page 1112 - GENERATE_CONFIG_SVF_FILE

EDA_DESIGN_ENTRY_SYNTHESIS_TOOLSpecifies the third-party EDA tool used for design entry/synthesisTypeStringDevice SupportThis setting can be used in p

Page 1113 - GENERATE_ISC_FILE

VCCIO_CURRENT_PCIFor user to override VCCIO current of PCI. Original current is 4mATypeIntegerDevice SupportThis setting can be used in projects targe

Page 1114 - GENERATE_JAM_FILE

VCCIO_CURRENT_SSTL2_CLASS1For user to override VCCIO current of SSTL2_CLASS1. Original current is 14mATypeIntegerDevice SupportThis setting can be use

Page 1115 - GENERATE_JBC_FILE

VCCIO_CURRENT_SSTL2_CLASS2For user to override VCCIO current of SSTL2_CLASS2. Original current is 21mATypeIntegerDevice SupportThis setting can be use

Page 1116 - GENERATE_JBC_FILE_COMPRESSED

VCCIO_CURRENT_SSTL3_CLASS1For user to override VCCIO current of SSTL3_CLASS1. Original current is 18mATypeIntegerDevice SupportThis setting can be use

Page 1117 - GENERATE_SVF_FILE

VCCIO_CURRENT_SSTL3_CLASS2For user to override VCCIO current of SSTL3_CLASS2. Original current is 25mATypeIntegerDevice SupportThis setting can be use

Page 1118 - HPS_EARLY_IO_RELEASE

VCCPD_VOLTAGESpecifies the default I/O Bank VCCPD Voltage to be used for pins on the target device.TypeStringDevice SupportThis setting can be used in

Page 1119 - ISP_CLAMP_STATE

VREF_MODESpecifies VREF mode of a pin.TypeEnumerationValues• CALIBRATED• EXTERNAL• VCCIO_45• VCCIO_50• VCCIO_55• VCCIO_65• VCCIO_70• VCCIO_75Device Su

Page 1120 - ISP_CLAMP_STATE_DEFAULT

WEAK_PULL_UP_RESISTOREnables the weak pull-up resistor when the device is operating in user mode. This option pulls a high-impedance bus signal to VCC

Page 1121 - MERGE_HEX_FILE

XCVR_A10_REFCLK_TERM_TRISTATEA logic option that directs the Compiler to enable the internal termination of the dedicated referenceclock pin.TypeEnume

Page 1122 - Project-Wide Assignments

XCVR_A10_RX_ADP_CTLE_ACGAIN_4SA logic option that allows you to control the amount of AC gain on the equalizer in high gain mode. Theamount of AC gain

Page 1123 - AHDL_FILE

BOARD_MODEL_FAR_SERIES_RSpecifies, in ohms, the board trace model far series resistance.TypeStringDevice SupportThis setting can be used in projects t

Page 1124 - AHDL_TEXT_DESIGN_OUTPUT_FILE

EDA_INPUT_DATA_FORMATSpecifies the format of the input data read from other EDA design entry/synthesis tools.TypeStringDevice SupportThis setting can

Page 1125 - ASM_FILE

NotesSyntax set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S -to <to> -entity <entity name> <value> 800XCVR_A1

Page 1126 - AUTO_EXPORT_VER_COMPATIBLE_DB

XCVR_A10_RX_ADP_CTLE_EQZ_1S_SELA logic option that allows you to control the amount of AC gain on the one-stage equalizer. The amountof AC gain is pro

Page 1127 - BASE_REVISION

XCVR_A10_RX_ADP_DFE_FXTAP1A logic option that allows you to specify the coefficient setting for fix tap one in the receiver decisionfeedback equalizer

Page 1128

• RADP_DFE_FXTAP1_18• RADP_DFE_FXTAP1_19• RADP_DFE_FXTAP1_2• RADP_DFE_FXTAP1_20• RADP_DFE_FXTAP1_21• RADP_DFE_FXTAP1_22• RADP_DFE_FXTAP1_23• RADP_DFE_

Page 1129 - BDF_FILE

• RADP_DFE_FXTAP1_61• RADP_DFE_FXTAP1_62• RADP_DFE_FXTAP1_63• RADP_DFE_FXTAP1_64• RADP_DFE_FXTAP1_65• RADP_DFE_FXTAP1_66• RADP_DFE_FXTAP1_67• RADP_DFE

Page 1130 - BINARY_FILE

NotesSyntax set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 -to <to> -entity <entity name> <value> MNL-Q210052015

Page 1131 - BSF_FILE

XCVR_A10_RX_ADP_DFE_FXTAP2A logic option that allows you to specify the coefficient setting for fix tap two in the receiver decisionfeedback equalizer

Page 1132 - CDF_FILE

• RADP_DFE_FXTAP2_18• RADP_DFE_FXTAP2_19• RADP_DFE_FXTAP2_2• RADP_DFE_FXTAP2_20• RADP_DFE_FXTAP2_21• RADP_DFE_FXTAP2_22• RADP_DFE_FXTAP2_23• RADP_DFE_

Page 1133 - COMMAND_MACRO_FILE

• RADP_DFE_FXTAP2_61• RADP_DFE_FXTAP2_62• RADP_DFE_FXTAP2_63• RADP_DFE_FXTAP2_64• RADP_DFE_FXTAP2_65• RADP_DFE_FXTAP2_66• RADP_DFE_FXTAP2_67• RADP_DFE

Page 1134 - CPP_FILE

NotesSyntax set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 -to <to> -entity <entity name> <value> MNL-Q210052015

Page 1135 - CPP_INCLUDE_FILE

EDA_INPUT_GND_NAMESpecifies the global high signal used in the files generated by the EDA synthesis tool, which is GND.TypeStringDevice SupportThis se

Page 1136 - CUSP_FILE

XCVR_A10_RX_ADP_DFE_FXTAP3A logic option that allows you to specify the coefficient setting for fix tap three in the receiver decisionfeedback equaliz

Page 1137 - CVP_REVISION

• RADP_DFE_FXTAP3_18• RADP_DFE_FXTAP3_19• RADP_DFE_FXTAP3_2• RADP_DFE_FXTAP3_20• RADP_DFE_FXTAP3_21• RADP_DFE_FXTAP3_22• RADP_DFE_FXTAP3_23• RADP_DFE_

Page 1138

• RADP_DFE_FXTAP3_61• RADP_DFE_FXTAP3_62• RADP_DFE_FXTAP3_63• RADP_DFE_FXTAP3_64• RADP_DFE_FXTAP3_65• RADP_DFE_FXTAP3_66• RADP_DFE_FXTAP3_67• RADP_DFE

Page 1139 - DEPENDENCY_FILE

NotesSyntax set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 -to <to> -entity <entity name> <value> MNL-Q210052015

Page 1140 - DSPBUILDER_FILE

XCVR_A10_RX_ADP_DFE_FXTAP4A logic option that allows you to specify the coefficient setting for floating tap four in the receiver decisionfeedback equ

Page 1141 - EDIF_FILE

• RADP_DFE_FXTAP4_43• RADP_DFE_FXTAP4_44• RADP_DFE_FXTAP4_45• RADP_DFE_FXTAP4_46• RADP_DFE_FXTAP4_47• RADP_DFE_FXTAP4_48• RADP_DFE_FXTAP4_49• RADP_DFE

Page 1142 - ELF_FILE

XCVR_A10_RX_ADP_DFE_FXTAP5A logic option that allows you to specify the coefficient setting for fix tap five in the receiver decisionfeedback equalize

Page 1143 - ENABLE_COMPACT_REPORT_TABLE

• RADP_DFE_FXTAP5_43• RADP_DFE_FXTAP5_44• RADP_DFE_FXTAP5_45• RADP_DFE_FXTAP5_46• RADP_DFE_FXTAP5_47• RADP_DFE_FXTAP5_48• RADP_DFE_FXTAP5_49• RADP_DFE

Page 1144 - ENABLE_REDUCED_MEMORY_MODE

XCVR_A10_RX_ADP_DFE_FXTAP6A logic option that allows you to specify the coefficient setting for fix tap six in the receiver decisionfeedback equalizer

Page 1145 - EQUATION_FILE

NotesSyntax set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 -to <to> -entity <entity name> <value> MNL-Q210052015

Page 1146 - FLOW_DISABLE_ASSEMBLER

EDA_INPUT_VCC_NAMESpecifies the global power-down signal.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device fami

Page 1147 - FLOW_ENABLE_HC_COMPARE

XCVR_A10_RX_ADP_DFE_FXTAP7A logic option that allows you to specify the coefficient setting for fix tap seven in the receiver decisionfeedback equaliz

Page 1148

NotesSyntax set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 -to <to> -entity <entity name> <value> MNL-Q210052015

Page 1149 - FLOW_ENABLE_PARALLEL_MODULES

XCVR_A10_RX_ADP_VGA_SELA logic option that allows you to controls the amount of output voltage swing on the variable gainamplifier. The amount of volt

Page 1150 - FLOW_ENABLE_POWER_ANALYZER

XCVR_A10_RX_EQ_DC_GAIN_TRIMA logic option that allows you to control the amount of DC gain on equalizer in high gain mode. Theamount of DC gain is pro

Page 1151 - FLOW_ENABLE_RTL_VIEWER

NotesSyntax set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM -to <to> -entity <entity name> <value> 824XCVR_A10_R

Page 1152

XCVR_A10_RX_LINKA logic option that allows you to specify the type of communication for the receiver link. Quartus II willuse this option to determine

Page 1153 - GDF_FILE

XCVR_A10_RX_ONE_STAGE_ENABLETypeEnumerationValues• NON_S1_MODE• S1_MODEDevice SupportThis setting can be used in projects targeting any Altera device

Page 1154 - HC_OUTPUT_DIR

XCVR_A10_RX_TERM_SELA logic option that allows you to specify the termination value of the receiver pin.TypeEnumerationValues• R_EXT0• R_R1• R_R2Devic

Page 1155 - HEX_FILE

XCVR_A10_TX_COMPENSATION_ENA logic option that allows you to turn on the compensation for transmitter data rate above 9 Gbps.Turning on this option dr

Page 1156 - HEX_OUTPUT_FILE

XCVR_A10_TX_LINKA logic option that allows you to specify the type of communication for the transmitter link. Quartus IIwill use this option to determ

Page 1157 - HPS_ISW_FILE

EDA_LMF_FILESpecifies the default Library Mapping File (.lmf) for the current compilation.TypeFile nameDevice SupportThis setting can be used in proje

Page 1158 - HTML_FILE

XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAPA logic option that allows you to specify the output polarity of the transmitter pre-emphasis first post-tap.Type

Page 1159 - HTML_REPORT_FILE

XCVR_A10_TX_PRE_EMP_SIGN_2ND_POST_TAPA logic option that allows you to specify the output polarity of the transmitter pre-emphasis second post-tap.Typ

Page 1160 - INCLUDE_FILE

XCVR_A10_TX_PRE_EMP_SIGN_PRE_TAP_1TA logic option that allows you to specify the output polarity of the transmitter pre-emphasis first pre-tap.TypeEnu

Page 1161 - IPA_FILE

XCVR_A10_TX_PRE_EMP_SIGN_PRE_TAP_2TA logic option that allows you to specify the output polarity of the transmitter pre-emphasis second pre-tap.TypeEn

Page 1162 - IPX_FILE

XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAPA logic option that allows you to control the magnitude of transmitter pre-emphasis first post-tap. Leg

Page 1163 - IP_COMPONENT_AUTHOR

XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_2ND_POST_TAPA logic option that allows you to control the magnitude of transmitter pre-emphasis second post-tap.Leg

Page 1164 - IP_COMPONENT_DESCRIPTION

XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_1TA logic option that allows you to control the magnitude of transmitter pre-emphasis first pre-tap. Legalv

Page 1165 - IP_COMPONENT_DISPLAY_NAME

XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_2TA logic option that allows you to control the magnitude of transmitter pre-emphasis second pre-tap. Legal

Page 1166

XCVR_A10_TX_VOD_OUTPUT_SWING_CTRLA logic option that allows you to control the transmitter output swing level. Legal values are: 0 to 31.TypeIntegerDe

Page 1167 - IP_COMPONENT_GROUP

XCVR_ANALOG_SETTINGS_PROTOCOLSpecify protocol and its variant that are used to determine electrical analog settings for the transceiver.Old NameHSSI_A

Page 1168 - IP_COMPONENT_INTERNAL

EDA_RUN_TOOL_AUTOMATICALLYRuns the third-party EDA tool automatically from Quartus II when a design is compiled.TypeBooleanDevice SupportThis setting

Page 1169 - IP_COMPONENT_NAME

• OBSAI_768• PCIE_CABLE• PCIE_GEN1• PCIE_GEN1_3P5DB• PCIE_GEN2• PCIE_GEN2_3P5DB• PCIE_GEN2_6DB• PCIE_GEN3• QPI• QSGMII_5000• SATA1_I• SATA1_M• SATA1_X

Page 1170 - IP_COMPONENT_PARAMETER

NotesThis assignment supports Fitter wildcards.Syntax set_instance_assignment -name XCVR_ANALOG_SETTINGS_PROTOCOL -to <to> -entity <en

Page 1171 - IP_COMPONENT_REPORT_HIERARCHY

XCVR_GT_IO_PIN_TERMINATIONAllows the Compiler to configure the GT transceiver termination value.TypeIntegerDevice SupportThis setting can be used in p

Page 1172 - IP_COMPONENT_VERSION

XCVR_GT_RX_COMMON_MODE_VOLTAGEGT receiver buffer common-mode voltage.TypeEnumerationValues• VTT_0P35V• VTT_0P50V• VTT_0P55V• VTT_0P60V• VTT_0P65V• VTT

Page 1173 - IP_GENERATED_DEVICE_FAMILY

XCVR_GT_RX_CTLEStatic control for the continuous time equalizer in the receiver buffer.TypeIntegerDevice SupportThis setting can be used in projects t

Page 1174 - IP_QSYS_MODE

XCVR_GT_RX_DC_GAINControls the amount of a stage receive-buffer DC gain.TypeIntegerDevice SupportThis setting can be used in projects targeting any Al

Page 1175 - IP_TARGETED_DEVICE_FAMILY

XCVR_GT_TX_COMMON_MODE_VOLTAGEGT Transmitter common-mode driver voltageTypeEnumerationValues• GROUNDED• PULL_DN• PULL_UP• PULL_UP_TO_VCCELA• TRISTATED

Page 1176 - IP_TARGETED_PART_TRAIT

XCVR_GT_TX_PRE_EMP_1ST_POST_TAPSpecifies the GT transmitter preemphasis first post-tap setting value.TypeIntegerDevice SupportThis setting can be used

Page 1177 - IP_TOOL_ENV

XCVR_GT_TX_PRE_EMP_INV_PRE_TAPInverts the GT transmitter preemphasis pre-tap setting value.TypeBooleanDevice SupportThis setting can be used in projec

Page 1178 - IP_TOOL_HIERARCHY_LEVELS

XCVR_GT_TX_PRE_EMP_PRE_TAPSpecifies the GT transmitter preemphasis pre-tap setting value.TypeIntegerDevice SupportThis setting can be used in projects

Page 1179 - IP_TOOL_NAME

EDA_SHOW_LMF_MAPPING_MESSAGESDetermines whether to display messages describing the mappings used in the Library Mapping File.TypeBooleanDevice Support

Page 1180 - IP_TOOL_VERSION

XCVR_GT_TX_VOD_MAIN_TAPDifferential output voltage setting for GT.TypeIntegerDevice SupportThis setting can be used in projects targeting any Altera d

Page 1181 - ISC_FILE

XCVR_IO_PIN_TERMINATIONAllows the Compiler to configure the Transceiver Termination value for a GXB I/O pin. It specifies theintended Transceiver Term

Page 1182 - JAM_FILE

XCVR_RECONFIG_GROUPAssigns the node you specify to a transceiver Avalon Memory-Mapped interface group. The AvalonMemory-Mapped interfaces of an RX-onl

Page 1183 - JBC_FILE

XCVR_REFCLK_PIN_TERMINATIONAllows the Compiler to configure the Termination value for a dedicated refclk pin. It specifies theintended Termination val

Page 1184 - LICENSE_FILE

XCVR_RX_ACGAIN_ASets reference voltage on EQATypeEnumerationValues• AREF_VOLT_0• AREF_VOLT_0P5• AREF_VOLT_0P75• AREF_VOLT_1P0Device SupportThis settin

Page 1185 - LMF_FILE

XCVR_RX_ACGAIN_VSets reference voltage on EQVTypeEnumerationValues• VREF_VOLT_0• VREF_VOLT_0P5• VREF_VOLT_0P75• VREF_VOLT_1P0Device SupportThis settin

Page 1186 - LOGIC_ANALYZER_INTERFACE_FILE

XCVR_RX_BYPASS_EQ_STAGES_234Bypasses continuous time equalizer stages 2, 3, and 4 to save power. This assignment eliminatessignificant AC gain on the

Page 1187 - MAP_FILE

XCVR_RX_COMMON_MODE_VOLTAGEReceiver buffer common-mode voltage.TypeEnumerationValues• TRISTATE1• VTT_0P35V• VTT_0P50V• VTT_0P55V• VTT_0P60V• VTT_0P65V

Page 1188 - MASK_REVISION

XCVR_RX_DC_GAINControls the amount of a stage receive-buffer DC gain.TypeIntegerDevice SupportThis setting can be used in projects targeting any Alter

Page 1189 - MESSAGE_DISABLE

XCVR_RX_ENABLE_LINEAR_EQUALIZER_PCIEMODEIf enabled, equalizer gain control is driven by the PCS block for PCI Express. If disabled, equalizer gaincont

Page 1190 - MESSAGE_ENABLE

EDA_VHDL_LIBRARYSpecifies the logical name of a user-defined VHDL design library : physical name.TypeStringDevice SupportThis setting can be used in p

Page 1191 - MIF_FILE

XCVR_RX_EQ_BW_SELSets the gain peaking frequency for the equalizer. For data-rates of less than 6.5Gbps, set to HALF. Forhigher data-rates, set to FUL

Page 1192

XCVR_RX_INPUT_VCM_SELWhen set to LOW_VCM, this assignment enables PMOS equalizer on stage 1 of the input buffer anddisables the NMOS stage for QPI and

Page 1193 - MISC_FILE

XCVR_RX_LINEAR_EQUALIZER_CONTROLStatic control for the continuous time equalizer in the receiver buffer. The equalizer has 16 distinctsettings from 0

Page 1194 - NUM_PARALLEL_PROCESSORS

XCVR_RX_SD_ENABLEEnables or disables the receiver signal detection unit.TypeBooleanDevice SupportThis setting can be used in projects targeting any Al

Page 1195 - OBJECT_FILE

XCVR_RX_SD_OFFNumber of parallel cycles to wait before the signal detect block declares loss of signal.TypeIntegerDevice SupportThis setting can be us

Page 1196 - OCP_FILE

XCVR_RX_SD_ONNumber of parallel cycles to wait before the signal detect block declares presence of signal.TypeIntegerDevice SupportThis setting can be

Page 1197 - PARTIAL_SRAM_OBJECT_FILE

XCVR_RX_SD_THRESHOLDSpecifies signal detection voltage threshold level.TypeIntegerDevice SupportThis setting can be used in projects targeting any Alt

Page 1198 - PDC_FILE

XCVR_RX_SEL_HALF_BWEnable half bandwidth mode. For BW=3.25GHZ, select FULL_BW. For BW=1.5GHz, select HALF_BWTypeEnumerationValues• FULL_BW• HALF_BWDev

Page 1199 - PERSONA_FILE

XCVR_TX_COMMON_MODE_VOLTAGETransmitter common-mode driver voltageTypeEnumerationValuesVOLT_0P65VDevice SupportThis setting can be used in projects tar

Page 1200 - PIN_FILE

XCVR_TX_PLL_RECONFIG_GROUPSpecifies whether XCVR channels with Dynamic TX PLL Reconfiguration can be merged.TypeIntegerDevice SupportThis setting can

Page 1201 - POWER_INPUT_FILE

ENABLE_IP_DEBUGMake certain nodes (for example, important registers, pins, and state machines) visible for all theMegaCore functions in a design. You

Page 1202 - PPF_FILE

XCVR_TX_PRE_EMP_1ST_POST_TAPSpecifies the transmitter preemphasis first post-tap setting value.TypeIntegerDevice SupportThis setting can be used in pr

Page 1203 - PROGRAMMER_OBJECT_FILE

XCVR_TX_PRE_EMP_2ND_POST_TAPSpecifies the transmitter preemphasis second post-tap setting value.TypeIntegerDevice SupportThis setting can be used in p

Page 1204 - PROJECT_OUTPUT_DIRECTORY

XCVR_TX_PRE_EMP_2ND_POST_TAP_USERSpecifies the transmitter preemphasis second post-tap setting value, including inversion.TypeIntegerDevice SupportThi

Page 1205 - PROJECT_SHOW_ENTITY_NAME

XCVR_TX_PRE_EMP_INV_2ND_TAPInverts the transmitter preemphasis second post-tap setting value.TypeBooleanDevice SupportThis setting can be used in proj

Page 1206 - PROJECT_USE_SIMPLIFIED_NAMES

XCVR_TX_PRE_EMP_INV_PRE_TAPInverts the transmitter preemphasis pre-tap setting value.TypeBooleanDevice SupportThis setting can be used in projects tar

Page 1207 - QARLOG_FILE

XCVR_TX_PRE_EMP_PRE_TAPSpecifies the transmitter preemphasis pre-tap setting value.TypeIntegerDevice SupportThis setting can be used in projects targe

Page 1208 - QAR_FILE

XCVR_TX_PRE_EMP_PRE_TAP_USERSpecifies the transmitter preemphasis pre-tap setting value, including inversion.TypeIntegerDevice SupportThis setting can

Page 1209 - QIP_FILE

XCVR_TX_RX_DET_ENABLEEnables or disables the receiver detector circuit at the transmitter.TypeBooleanDevice SupportThis setting can be used in project

Page 1210 - QSYS_FILE

XCVR_TX_RX_DET_MODESets the mode for the receiver detect block function.TypeIntegerDevice SupportThis setting can be used in projects targeting any Al

Page 1211 - QUARTUS_PTF_FILE

XCVR_TX_RX_DET_OUTPUT_SELDetermines QPI or PCI Express mode for the Receiver Detect block.TypeEnumerationValues• RX_DET_PCIE_OUT• RX_DET_QPI_OUTDevice

Page 1212 - QUARTUS_SBD_FILE

ENABLE_M512Enables the compiler to use M512 memory blocks in a design. Because HardCopy II designs do notsupport M512 memory blocks, this option is us

Page 1213 - QUARTUS_STANDARD_DELAY_FILE

XCVR_TX_SLEW_RATE_CTRLSpecifies the slew rate of the output signal. The valid values span from the slowest rate to fastest rate.TypeIntegerDevice Supp

Page 1214 - QVAR_FILE

XCVR_TX_VCM_CTRL_SRCControls the VCM driver (pulldown/pullup) dynamically from user signals when you set this assignmentto DYNAMIC_CTL for QPI protoco

Page 1215 - QXP_FILE

XCVR_TX_VODDifferential output voltage setting. The values are monotonically increasing with the driver main tapcurrent strength.TypeIntegerDevice Sup

Page 1216 - RAW_BINARY_FILE

XCVR_TX_VOD_PRE_EMP_CTRL_SRCWhen you set this assignment to DYNAMIC_CTL for PCI Express, the PCS block controls the VOD andpreemphasis coefficients. W

Page 1217 - READ_OR_WRITE_IN_BYTE_ADDRESS

XCVR_VCCA_VOLTAGEConfigure the VCCA_GXB voltage for a GXB I/O pin by specifying the intended VCCA_GXB voltage fora GXB I/O pin. If you do not set this

Page 1218 - RECONFIGURABLE_REVISION

XCVR_VCCR_VCCT_VOLTAGEConfigure the VCCR_GXB and VCCT_GXB voltage for an GXB I/O pin by specifying the intendedsupply voltages for a GXB I/O pin. If t

Page 1219 - REVISION_TYPE

XSTL_INPUT_ALLOW_SE_BUFFERAllows the pin with a Differential-XSTL IO-standard to be used with a single-ended input buffer.TypeBooleanDevice SupportThi

Page 1220

Incremental Compilation AssignmentsABSORB_PATHS_FROM_OUTPUTS_TO_INPUTSAllows the Compiler to optimize connections from a partition's outputs to i

Page 1221

ALLOW_MULTIPLE_PERSONASSpecifies if this partition represents a reconfigurable part of the design that can have mulitple personas(implementations)Type

Page 1222 - SBI_FILE

AUTO_EXPORT_INCREMENTAL_COMPILATIONAutomatically exports the project as a design partitionTypeBooleanDevice SupportThis setting can be used in project

Page 1223 - SDC_FILE

EXTRACT_VERILOG_STATE_MACHINESAllows the Compiler to extract state machines from Verilog Design Files. The Compiler optimizes statemachines using spec

Page 1224 - SDF_OUTPUT_FILE

CROSS_BOUNDARY_OPTIMIZATIONSThis setting specifies whether the Compiler should optimize across the partition's boundary. If enabled,the Compiler

Page 1225 - SERIAL_BITSTREAM_FILE

ENABLE_LAB_SHARING_WITH_PARENT_PARTITIONAllows logic from the target partition to share LAB resources with the immediate parent partition.TypeBooleanD

Page 1226 - SIGNALTAP_FILE

ENABLE_STRICT_PRESERVATIONSpecifies whether IO pin belong to a strictly preserved safety IP. Setting defaults to off.TypeBooleanDevice SupportThis set

Page 1227 - SIP_FILE

EXTENDS_TOP_BLOCKSpecifies a top-level block to extend. This currently instructs the fitter to use the post-map compilerresults from the given VLNV, a

Page 1228 - SLD_FILE

IGNORE_PARTITIONSSpecifies whether the compiler should ignore partition assignments in the project.TypeBooleanDevice SupportThis setting can be used i

Page 1229 - SMF_FILE

IMPORT_BLOCKSpecifies a block in the form of VLNV+Snapshot to be imported for the specified partition.TypeStringDevice SupportThis setting can be used

Page 1230 - SOFTWARE_LIBRARY_FILE

INCREMENTAL_COMPILATION_EXPORT_FILESpecifies the path to the exported file. The file must have a QXP file extensionTypeFile nameDevice SupportThis set

Page 1231 - SOPCINFO_FILE

INCREMENTAL_COMPILATION_EXPORT_FLATTENSpecifies whether the netlist exported to the QXP file should flatten sub-partitionsTypeBooleanDevice SupportThi

Page 1232 - SOPC_FILE

INCREMENTAL_COMPILATION_EXPORT_PARTITION_NAMESpecifies the name of the partition that contains the design hierarchy to be exported. The root partition

Page 1233 - SOURCE_TCL_SCRIPT_FILE

INCREMENTAL_COMPILATION_EXPORT_POST_FITSpecifies whether the exported QXP file contains the post-fit netlistTypeBooleanDevice SupportThis setting can

Page 1234 - SPD_FILE

BOARD_MODEL_NEAR_CSpecifies, in farads, the board trace model near capacitance.TypeStringDevice SupportThis setting can be used in projects targeting

Page 1235 - SRAM_OBJECT_FILE

EXTRACT_VHDL_STATE_MACHINESAllows the Compiler to extract state machines from VHDL Design Files. The Compiler optimizes statemachines using special te

Page 1236 - SRECORDS_FILE

INCREMENTAL_COMPILATION_EXPORT_POST_SYNTHSpecifies whether the exported QXP file contains the post-synthesis netlistTypeBooleanDevice SupportThis sett

Page 1237 - SVF_FILE

INCREMENTAL_COMPILATION_EXPORT_ROUTINGSpecifies whether the post-fit netlist exported to the QXP file contains routing informationTypeBooleanDevice Su

Page 1238 - SYM_FILE

INPUT_PERSONASpecifies the input Persona file to use for this partition.TypeFile nameDevice SupportThis setting can be used in projects targeting any

Page 1239 - SYNTHESIS_ONLY_QIP

INSERT_BOUNDARY_WIRE_LUTSEnables wire lut insertion for boundary ports in the given partition (the partition is named by hierarchypath). This ensures

Page 1240 - SYSTEMVERILOG_FILE

MERGE_EQUIVALENT_BIDIRSAllows the Compiler to merge electrically equivalent bidirectional inputs. You must also enable the cross-boundary optimization

Page 1241 - TCL_SCRIPT_FILE

MERGE_EQUIVALENT_INPUTSAllows the Compiler to merge inputs connected to the same source. You must also enable the cross-boundary optimizations feature

Page 1242 - TEMPLATE_FILE

PARTIAL_RECONFIGURATION_PARTITIONSpecifies if this partition in the design is partially reconfigurable.Old NamePR_PARTITIONTypeBooleanDevice SupportTh

Page 1243 - TEXT_FILE

PARTITION_ALWAYS_USE_QXP_NETLISTSpecifies whether to always use the netlist in the QXP file associated with the partition, either because theQXP file

Page 1244 - TEXT_FORMAT_REPORT_FILE

PARTITION_ASD_REGION_IDIndicates the advanced sensitivity detection region assignment for this partition.TypeIntegerDevice SupportThis setting can be

Page 1245 - TIMING_ANALYSIS_OUTPUT_FILE

PARTITION_ENABLE_STRICT_PRESERVATIONSpecifies whether partition is a strictly preserved safety IP. Setting defaults to off.TypeBooleanDevice SupportTh

Page 1246 - VCD_FILE

FAMILYSpecifies the device family to use for compilation.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device fami

Page 1247 - VECTOR_TABLE_OUTPUT_FILE

PARTITION_FITTER_PRESERVATION_LEVELSpecifies the amount of data to reuse when you specify to reuse the post-fit netlist of this partitionTypeEnumerati

Page 1248 - VECTOR_TEXT_FILE

PARTITION_HIERARCHYThe target of the assignment specifies the hierarchy path of the entity instance for the partition. The valueof the assignment spec

Page 1249 - VECTOR_WAVEFORM_FILE

PARTITION_IGNORE_SOURCE_FILE_CHANGESSpecifies whether to use the requested post-synthesis or post-fit netlist when it is available, even whensource fi

Page 1250 - VERILOG_FILE

PARTITION_IMPORT_ASSIGNMENTSSpecifies whether assignments (LogicLock or non-LogicLock) should be imported. If set to FALSE, onlythe netlist will be im

Page 1251 - VERILOG_INCLUDE_FILE

PARTITION_IMPORT_EXISTING_ASSIGNMENTSSpecifies the way existing and conflicting non-LogicLock region assignments should be handled duringimportTypeEnu

Page 1252 - VERILOG_OUTPUT_FILE

PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONSSpecifies the way existing and conflicting LogicLock region assignments should be handled during importType

Page 1253 - VERILOG_TEST_BENCH_FILE

PARTITION_IMPORT_FILESpecifies the name of the file from which to import the contents for the partition. This setting is only usedduring importation.T

Page 1254 - VER_COMPATIBLE_DB_DIR

PARTITION_IMPORT_PROMOTE_ASSIGNMENTSSpecifies whether assignments should be promoted to all instances of the imported entityTypeBooleanDevice SupportT

Page 1255 - VHDL_FILE

PARTITION_LAST_IMPORTED_FILESpecifies the name of the file from which the partition was last imported. This assignment is for purelyinformational purp

Page 1256 - VHDL_OUTPUT_FILE

PARTITION_NETLIST_TYPESpecifies the type of netlist to use for this partition during the next compilationTypeEnumerationValues• Auto• EMPTY• IMPORTED•

Page 1257 - VHDL_TEST_BENCH_FILE

FLEX10K_CARRY_CHAIN_LENGTHSpecifies the maximum allowable length of a chain of both user-entered and Compiler-synthesizedCARRY_SUM buffers. Carry chai

Page 1258 - VQM_FILE

PARTITION_PRESERVE_HIGH_SPEED_TILESSpecifies whether to preserve the high-speed tiles in the post-fit netlist, if applicable.TypeBooleanDevice Support

Page 1259 - ZIP_VECTOR_WAVEFORM_FILE

PROPAGATE_CONSTANTS_ON_INPUTSAllows the Compiler to use constants on a partition input to optimize the logic in the partition. You mustalso enable the

Page 1260 - SignalProbe Assignments

PROPAGATE_INVERSIONS_ON_INPUTSSpecifies that the Compiler should push inversions into partition inputs when possible. This cross-boundary optimization

Page 1261 - SIGNALPROBE_CLOCK

QDB_FILESpecifies a QDB file to be imported to the current project.TypeStringDevice SupportThis setting can be used in projects targeting any Altera d

Page 1262

QDB_PATHSpecify path to read and write compiler generated database to a directory other than project directory.TypeStringDevice SupportThis setting ca

Page 1263 - SIGNALPROBE_ENABLE

QHD_MODEEnables QHD Mode.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.Syntax set_global_ass

Page 1264 - SIGNALPROBE_NUM_REGISTERS

RAPID_RECOMPILE_ASSIGNMENT_CHECKINGSpecifies whether to check if assignments have changed when running Rapid Recompile. Turning off thisoption will by

Page 1265 - SIGNALPROBE_SOURCE

REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTSAllows the Compiler to remove logic connected to dangling partitions outputs. You must also enable thecross-boundar

Page 1266 - SignalTap II Assignments

LogicLock Region AssignmentsLL_AUTO_SIZESpecifies whether the LogicLock region is auto-sized. The Compiler determines an appropriate size forauto-size

Page 1267 - ENABLE_SIGNALTAP

LL_CORE_ONLYIf set to ON, the setting allows non-core tiles in the region.TypeBooleanDevice SupportThis setting can be used in projects targeting any

Page 1268 - STP_FILE

FLEX10K_OPTIMIZATION_TECHNIQUESpecifies the overall optimization goal for Analysis & Synthesis: attempt to maximize performance orminimize logic u

Page 1269

LL_ENABLEDSpecifies whether the region is enabled.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera device family.Not

Page 1270 - USE_SIGNALTAP_FILE

LL_HEIGHTSpecifies the height of the LogicLock region in rows.TypeIntegerDevice SupportThis setting can be used in projects targeting any Altera devic

Page 1271 - Simulator Assignments

LL_MEMBER_EXCEPTIONSIf specified, the Fitter assigns all nodes under the target design entity or path to be members of theLogicLock region, except for

Page 1272

LL_MEMBER_OFAssigns the current node(s) to a LogicLock region.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device

Page 1273

LL_MEMBER_OF_SECURITY_ROUTING_INTERFACEAssigns the current signal to a security routing interface.TypeStringDevice SupportThis setting can be used in

Page 1274

LL_ORIGINSpecifies the location of the LogicLock region's origin. For APEX 20K and APEX II devices, the origin isthe top left corner of the regio

Page 1275

LL_PARENTSpecifies the name of the LogicLock region's parent LogicLock region.TypeStringDevice SupportThis setting can be used in projects target

Page 1276 - BREAKPOINT_STATE

LL_PRIORITYIndicates the priority of a wildcard or path-based LL_MEMBER_OF assignment relative to other wildcardor path-based LL_MEMBER_OF assignments

Page 1277 - CHECK_OUTPUTS

LL_RESERVEDIf set to ON, the setting prevents the Fitter from placing non-member logic in the region.Old NameLL_RESERVETypeBooleanDevice SupportThis s

Page 1278 - END_TIME

LL_ROOT_REGIONIndicates that the LogicLock region is a root region.TypeBooleanDevice SupportThis setting can be used in projects targeting any Altera

Page 1279 - EXTERNAL_PIN_CONNECTION

FLEX6K_CARRY_CHAIN_LENGTHSpecifies the maximum allowable length of a chain of both user-entered and Compiler-synthesizedCARRY_SUM buffers. Carry chain

Page 1280 - GLITCH_DETECTION

LL_STATESpecifies whether the location of the LogicLock region is locked or floating. The Compiler determines anappropriate location for floating regi

Page 1281 - GLITCH_INTERVAL

LL_WIDTHSpecifies the width of the LogicLock region in LABs/ESBs.TypeIntegerDevice SupportThis setting can be used in projects targeting any Altera de

Page 1282

Migration AssignmentsMIGRATION_AUTO_PACKED_REGISTERSRegister Packings that have been performed on a prototype device and that must be reproduced on th

Page 1283

MIGRATION_AUTO_PORT_SWAPPort Swappings that have been performed on a prototype device and that must be reproduced on thetarget migration deviceTypeStr

Page 1284

MIGRATION_RAM_INFORMATIONRAMs that have been created on a prototype device and that must be reproduced on the target migrationdeviceTypeStringDevice S

Page 1285 - IMMEDIATE_ASSERTION_STATE

Netlist Viewer AssignmentsRTLV_GROUP_COMB_LOGIC_IN_CLOUDAllow RTL Viewer to group combinational logic in logic cloudTypeBooleanDevice SupportThis sett

Page 1286

RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMVAllow Technology Map Viewer to group combinational logic in logic cloudTypeBooleanDevice SupportThis setting can be

Page 1287

RTLV_GROUP_RELATED_NODESAllow RTL Viewer to group all related nodes into a single bus nodeTypeBooleanDevice SupportThis setting can be used in project

Page 1288 - PASSIVE_RESISTOR

RTLV_GROUP_RELATED_NODES_TMVAllow Technology Map Viewer to group all related nodes into a single bus nodeTypeBooleanDevice SupportThis setting can be

Page 1289 - SETUP_HOLD_DETECTION

RTLV_REMOVE_FANOUT_FREE_REGISTERSAllow RTL Viewer to remove fanout free registersTypeBooleanDevice SupportThis setting can be used in projects targeti

Page 1290

FLEX6K_OPTIMIZATION_TECHNIQUESpecifies the overall optimization goal for Analysis & Synthesis: attempt to maximize performance orminimize logic us

Page 1291

RTLV_SIMPLIFIED_LOGICAllow RTL Viewer to remove wire nodes and merge chain of equivalent combinatorial gatesTypeBooleanDevice SupportThis setting can

Page 1292

Pin & Location AssignmentsAPEX20K_CLIQUE_TYPESpecifies the type of a clique.Old NameCLIQUE_TYPETypeEnumerationValuesLABDevice SupportThis setting

Page 1293

APEX20K_LOCAL_ROUTING_SOURCESpecifies that the fan-out(s) of an input pin connected to logic elements, or the fan-out(s) of a logicelement connected t

Page 1294 - SIMULATION_COMPARE_SIGNAL

FAST_INPUT_REGISTERImplements an input register in a cell that has a fast, direct connection from an I/O pin. If such a fast,direct connection from th

Page 1295

FAST_OCT_REGISTERImplements an OCT register in a cell that has a fast, direct connection to an I/O pin. Turning on the FastOCT Register option can hel

Page 1296 - SIMULATION_COVERAGE

FAST_OUTPUT_ENABLE_REGISTERImplements an output enable register in a cell that has a fast, direct connection to an I/O pin. If such afast, direct conn

Page 1297

FAST_OUTPUT_REGISTERImplements an output register in a cell that has a fast, direct connection to an I/O pin. If such a fast, directconnection to the

Page 1298

FLEX10K_CLIQUE_TYPESpecifies the type of a clique.TypeEnumerationValuesLABDevice SupportThis setting can be used in projects targeting any Altera devi

Page 1299

FLEX6K_CLIQUE_TYPESpecifies the type of a clique.TypeEnumerationValues• Best• Half Row• LAB• RowDevice SupportThis setting can be used in projects tar

Page 1300

FLEX6K_LOCAL_ROUTING_SOURCESpecifies that the fan-out(s) of an input pin connected to logic elements, or the fan-out(s) of a logicelement connected to

Page 1301 - SIMULATION_MODE

FORCE_SYNCH_CLEARForces the Compiler to utilize synchronous clear signals in normal mode logic cells. Turning on thisoption helps to reduce the total

Page 1302 - SIMULATION_NETLIST_VIEWER

IP_DEBUG_VISIBLEWhen assigned to an Encrypted IP node this option directs Quartus II to display the node in the NodeFinder.TypeBooleanDevice SupportTh

Page 1303

LL_IGNORE_IO_PIN_SECURITY_CONSTRAINTAllows the specified I/O pin to ignore security constraints.TypeBooleanDevice SupportThis setting can be used in p

Page 1304 - SIMULATION_VDB_RESULT_FLUSH

LOCATIONAssigns a location on the device for the current node(s) and/or pin(s).TypeLocationDevice SupportThis setting can be used in projects targetin

Page 1305

MAX7K_CLIQUE_TYPESpecifies the type of a clique.TypeEnumerationValuesLABDevice SupportThis setting can be used in projects targeting any Altera device

Page 1306

MEMBER_OFAssigns one or more currently selected nodes and/or entities to a clique, which is a group of functions thatthe Compiler attempts to place to

Page 1307

MERCURY_CLIQUE_TYPESpecifies the type of a clique.TypeEnumerationValuesLABDevice SupportThis setting can be used in projects targeting any Altera devi

Page 1308

PIN_CONNECT_FROM_NODEDirects the Compiler to generate a device pin with the specified name and connect the device pin to aninternal signal.TypeStringD

Page 1309

RESERVE_PINReserves the pin in one of seven states: as an input that is tri-stated; as an output that drives ground; as anoutput that drives VCC; as a

Page 1310

SUBCLIQUE_OFSpecifies that the current clique is a member of another clique.TypeStringDevice SupportThis setting can be used in projects targeting any

Page 1311

VIRTUAL_PINSpecifies whether an I/O element in a lower-level design entity can be temporarily mapped to a logicelement and not to a pin during compila

Page 1312

HDL_INITIAL_FANOUT_LIMITDirects Integrated Synthesis to check the initial fan-out of each net in the netlist immediately afterelaboration but prior to

Page 1313

Power Estimation AssignmentsENABLE_SMART_VOLTAGE_IDSpecifies whether smart voltage ID feature is used.TypeBooleanDevice SupportThis setting can be use

Page 1314

POWER_AUTO_COMPUTE_TJSpecifies whether the junction temperature is auto-computed during power estimation. If the junctiontemperature is not auto-compu

Page 1315

POWER_BOARD_TEMPERATURESpecifies the board temperature, in degrees Celsius, used during power estimation.TypeIntegerDevice SupportThis setting can be

Page 1316

POWER_BOARD_THERMAL_MODELSpecifies the board thermal model used during power estimation.TypeStringDevice SupportThis setting can be used in projects t

Page 1317

POWER_DEFAULT_INPUT_IO_TOGGLE_RATESpecifies the default toggle rate to be used on input I/O pins during power estimation. This value is onlyused if a

Page 1318

POWER_DEFAULT_TOGGLE_RATESpecifies the default toggle rate to be used on all nodes except input I/O pins during power estimation.This value is only us

Page 1319

POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATORSpecifies the external supply voltage applied to the on-chip voltage regulator. This option applies only todevice

Page 1320

POWER_HPS_DYNAMIC_POWER_DUALDynamic Power of dual processor core when HPS is active.TypeStringDevice SupportThis setting can be used in projects targe

Page 1321

POWER_HPS_DYNAMIC_POWER_SINGLEDynamic power of single processor core when HPS is active.TypeStringDevice SupportThis setting can be used in projects t

Page 1322

POWER_HPS_ENABLESpecifies whether or not you must include the HPS processor subsystem for SoC power estimation.TypeBooleanDevice SupportThis setting c

Page 1323

HDL_MESSAGE_LEVELSpecifies the type of HDL messages you want to view, including messages that display processing errors inthe HDL source code. 'L

Page 1324

POWER_HPS_JUNCTION_TEMPERATUREJunction Temperature when HPS is active.TypeStringDevice SupportThis setting can be used in projects targeting any Alter

Page 1325

POWER_HPS_PROC_FREQSpecifies the processor frequency of the HPS assumed by power estimation. The units for this value areMHz and the value must be pos

Page 1326

POWER_HPS_STATIC_POWERStatic Power when HPS is active.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.

Page 1327

POWER_HPS_TOTAL_POWERTotal power when HPS is active.TypeStringDevice SupportThis setting can be used in projects targeting any Altera device family.No

Page 1328 - SIM_BEHAVIOR_SIMULATION

POWER_HSSIIf the transceivers are unused, setting this option to \"Opportunistically power off\" directs the Quartus IIsoftware to consider

Page 1329 - SIM_COMPILE_HDL_FILES

POWER_HSSI_LEFTIf the transceivers on the left side of the device are unused, setting this option to \"Opportunisticallypower off\" directs

Page 1330 - SIM_HDL_TOP_MODULE_NAME

POWER_HSSI_RIGHTIf the transceivers on the right side of the device are unused, setting this option to \"Opportunisticallypower off\" direct

Page 1331 - SIM_OVERWRITE_WAVEFORM_INPUTS

POWER_HSSI_VCCHIP_LEFTIf the PCI Express hard IP blocks on the left side of the device are unused, setting this option to\"Opportunistically powe

Page 1332 - SIM_TAP_REGISTER_D_Q_PORTS

POWER_HSSI_VCCHIP_RIGHTIf the PCI Express hard IP blocks on the right side of the device are unused, setting this option to\"Opportunistically po

Page 1333

POWER_INPUT_FILE_NAMESpecifies the name of the VCD File or Signal Activity File which should be used to initialize the togglerates and static probabil

Page 1334

HDL_MESSAGE_OFFSpecifies the list of HDL message ids you want to turn off for this project.TypeIntegerDevice SupportThis setting can be used in projec

Page 1335

POWER_INPUT_FILE_TYPESpecifies whether the input power file is a VCD file or SAF file.TypeEnumerationValues• SAF• VCDDevice SupportThis setting can be

Page 1336 - START_TIME

POWER_INPUT_SAF_NAMESpecifies the name of the Signal Activity File which should be used to initialize the toggle rates and staticprobabilities that wi

Page 1337 - TRIGGER_EQUATION

POWER_INPUT_VCD_FILE_NAMESpecifies the names of the VCD files which should be used to initialize the toggle rates and staticprobabilities that will be

Page 1338

POWER_OCS_VALUESpecifies the case-to-heat sink thermal resistance, in degrees Celsius per Watt, used during powerestimation.TypeStringDevice SupportTh

Page 1339 - USER_MESSAGE

POWER_OJB_VALUESpecifies the junction-to-board thermal resistance, in degrees Celsius per Watt, used during powerestimation.TypeStringDevice SupportTh

Page 1340 - VECTOR_COMPARE_TRIGGER_MODE

POWER_OJC_VALUESpecifies the junction-to-case-sink thermal resistance, in degrees Celsius per Watt, used during powerestimation.TypeStringDevice Suppo

Page 1341 - VECTOR_INPUT_SOURCE

POWER_OSA_VALUESpecifies the heat sink-to-ambient thermal resistance, in degrees Celsius per Watt, used during powerestimation.TypeStringDevice Suppor

Page 1342 - VECTOR_OUTPUT_DESTINATION

POWER_OUTPUT_SAF_NAMESpecifies the name the Signal Activity File should be written to containing the toggle rates and staticprobabilities used during

Page 1343 - VECTOR_OUTPUT_FORMAT

POWER_PRESET_COOLING_SOLUTIONSpecifies the preset cooling solution used during power estimation.TypeStringDevice SupportThis setting can be used in pr

Page 1344 - X_ON_VIOLATION_OPTION

POWER_READ_INPUT_FILEAssigns user-defined power input file characteristics to an entity. To specify a power input file, you mustdefine a named group o

Commentaires sur ces manuels

Pas de commentaire