Altera Double Data Rate I/O Manuel d'utilisateur

Naviguer en ligne ou télécharger Manuel d'utilisateur pour Instruments de mesure Altera Double Data Rate I/O. Altera Double Data Rate I/O User Manual Manuel d'utilisatio

  • Télécharger
  • Ajouter à mon manuel
  • Imprimer
  • Page
    / 22
  • Table des matières
  • MARQUE LIVRES
  • Noté. / 5. Basé sur avis des utilisateurs
Vue de la page 0
Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT,
and ALTDDIO_BIDIR) IP Cores User Guide
2015.01.23
UG-DDRMGAFCTN
Subscribe
Send Feedback
The Altera
®
®
DDR I/O megafunction IP cores configure the DDR I/O registers in APEX
II, Arria
®
series, Cyclone
®
series, HardCopy
®
series, and Stratix
®
series devices.
You can also use these IP cores to implement DDR registers in the logic elements (LEs). In Arria GX,
Stratix series, HardCopy II, HardCopy Stratix, and APEX II devices, the DDR registers are implemented
in the I/O element (IOE). In Cyclone series devices, the IP cores automatically implement the DDR
registers in the LEs closest to the pin. The ALTDDIO_IN IP core implements the interface for DDR
inputs. The ALTDDIO_OUT IP core implements the interface for DDR outputs. The ALTDDIO_BIDIR
IP core implements the interface for bidirectional DDR inputs and outputs.
ALTDDIO Features
The ALTDDIO IP cores implement a DDR interface and offer the following additional features:
The ALTDDIO_IN IP core receives data on both edges of the reference clock
The ALTDDIO_OUT IP core transmits data on both edges of the reference clock
The ALTDDIO_BIDIR IP core transmits and receives data on both edges of the reference clock
Asynchronous clear and asynchronous set input options available
Synchronous clear and synchronous set input options available for Arrix GX and Stratix series devices.
inclock signal to sample the DDR input
outclock signal to register the data output
Clock enable signals
Bidirectional port for the ALTDDIO_BIDIR IP core
An output enable input for the ALTDDIO_OUT and ALTDDIO_BIDIR IP cores
ALTDDIO Common Applications
DDR registers capture and/or send data at twice the rate of the clock or data strobe to interface with a
memory device or other high-speed interface application in which the data is clocked at both edges of the
clock.
©
2015 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are
trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as
trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance
of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any
products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information,
product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device
specifications before relying on any published information and before placing orders for products or services.
ISO
9001:2008
Registered
www.altera.com
101 Innovation Drive, San Jose, CA 95134
Vue de la page 0
1 2 3 4 5 6 ... 21 22

Résumé du contenu

Page 1 - ALTDDIO Common Applications

Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT,and ALTDDIO_BIDIR) IP Cores User Guide2015.01.23UG-DDRMGAFCTNSubscribeSend FeedbackThe Altera®® DDR I/O

Page 2

(dataout_h and dataout_l) can be disabled. These features are especially useful for generating datastrobes like DQS.Figure 5: Bidirectional DDR I/O Pa

Page 3 - ALTDDIO Parameter Settings

• Implementing Double Data Rate I/O Signaling in Cyclone DevicesFor more information about the DDR registers in Cyclone devicesDDR I/O TimingThis figu

Page 4 - Parameter Description

Design Example 2: 8-Bit DDR Divider Using ALTDDIO_BIDIRThis section presents a design example that uses the ALTDDIO_BIDIR IP core to generate a divide

Page 5

ParameterEditor PageParameter Value3Currently selected device family Stratix IVMatch project/default Turned onWidth: (bits) 8Use ‘aclr’ port Turned of

Page 6 - DDR Device Configuration

Related InformationDesign Example 2: 8-Bit DDR Divider Using ALTDDIO_BIDIRCreate the LPM_DIVIDE ModuleFollow these steps to create the lpm_divide modu

Page 7 - Output Configuration

Parameter Editor Page Parameter Value4Do you want to pipelinethe function?Select Yes, I want an output latencyof 1 clock cycleCreate an AsynchronousCl

Page 8 - Send Feedback

This design implements the same divider as that in Design Example 1, but the functionality of theALTDDIO_IN and ALTDDIO_OUT modules is implemented in

Page 9 - Bidirectional Configuration

Figure 8: ModelSim Simulation ResultsThis figure shows the expected simulation results in ModelSim-Altera software.Related InformationDivider Design i

Page 10 - Related Information

NameRequiredDescriptionsset No Synchronous set input. The sclr and sset ports cannot be connected at thesame time. The sset port is available for Arri

Page 11 - DDR I/O Timing

Name Required Descriptionoe No Output enable for the dataout port. Active-highsignal. You can add an inverter if you need an active-low oe.sclr No Syn

Page 12 - Parameter Value

The DDR registers interface with DDR SDRAM, DDR2 SDRAM, RLDRAM II, QDR SRAM, and QDRIISRAM memory devices. You can also use the DDR I/O registers as a

Page 13

Name Required Descriptiondatain_l[] Yes Input data to be output to the padio port at the fallingedge of the outclock port. Input port [(WIDTH) - (1)..

Page 14 - Create the LPM_DIVIDE Module

Name Required Descriptionoe_out No Output enable for the bidirectional padio port. Outputport [WIDTH–1..0] wide. This port is available forStratix III

Page 15 - Create a Divider

Date Version ChangesSeptember 2010 5.0 Added ports and parameters.June 2007 4.2 Updated for Quartus II software version 7.1:• Updated for Arria GX and

Page 16 - Implement the Divider Design

ALTDDIO Parameter SettingsThese tables list the parameter settings for the ALTDDIO IP cores.Table 1: ALTDDIO_IN Parameter SettingsThis table lists the

Page 17 - ALTDDIO_IN IP Core Signals

Parameter DescriptionAsynchronous clear and asynchronous set ports Select Use ‘aclr’ port for asynchronous clear (aclr).Select Use ‘aset’ port for asy

Page 18 - ALTDDIO_OUT IP Core Signals

Parameter DescriptionWidth: (bits) Specify the width of the data buses.Asynchronous clear and asynchronous set ports Select Use ‘aclr’ port for asynch

Page 19 - ALTDDIO_BIDIR IP Core Signals

Parameter DescriptionUse ‘dqsundelayedout’ port Creates undelayed output from the DQS pins. If youuse the ALTDDIO_BIDIR IP core for your DQSsignal in

Page 20 - Name Required Description

Figure 2: Stratix II IOE in DDR Input I/O ConfigurationThis figure shows an IOE configured for DDR inputs for a Stratix or Stratix II device.CLRN/PRND

Page 21 - Document Revision History

Figure 3: Output DDR I/O Path Configuration for Stratix Series and APEX II DevicesThis figure shows the IOE configuration for DDR outputs in Stratix s

Page 22 - Date Version Changes

Figure 4: Stratix IOE in DDR Output I/O ConfigurationThis figure shows the IOE configuration for DDR outputs in Stratix series devicesCLRN/PRND QENACh

Commentaires sur ces manuels

Pas de commentaire